\; | | &ldquo\;Smart&rdquo\; sy
stems and the Internet of Things are pushing embedded systems into new app
lications and use cases. Hardware platforms are trending toward 32-bit mul
ticore CPUs with a wide variety of integrated I/O\, graphics\, sensors\, a
nd networking\; embedded software complexity is starting to push language
choices from C to C++\, Java\, and Go\; more code is being integrated as o
pposed to developed from scratch\; new tools are needed to address develop
ment and integration challenges\; software development must address securi
ty concerns. Join us as experts in embedded software development share new
trends and techniques for effective embedded software development for tod
ay&rsquo\;s applications. | Sponsors: Gramm
aTech\, Sierra Wireless Moderator
: \; Curt Schwaderer\, OpenSystems Media | |  \; |  \;
CATEGORIES:Education
UID:20160407T1652250Z-511394-800@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160413T170000
DTEND;TZID=America/Sao_Paulo:20160413T180000
SUMMARY:Learn About FACE Aligned Reference Platform: Built on COTS and DO-1
78C Certifiable Components
DESCRIPTION:ER NOW The primary objective of the FACE Technical Standard is
to define a reference software architecture. The Reference Implementation
Guide documents approaches and best industry practices to instantiate the
reference software architecture for developing and verifying infrastructur
e software components and application components. This webinar will examin
e a case study of such a reference implementation in order to demonstrate
capabilities that can be integrated using FACE aligned components. These c
omponents include: An Operating System Segment (FACE Safety Base Profile a
ligned) utilizing both C and C++ runtimes A Transport Services Segment (TS
S) utilizing DDS and ARINC port services A Platform Specific Services Segm
ent (PSSS) including Graphic Services A Portable Components Segment (PCS)
implemented within a partition providing the Primary Flight Display. An IO
Services Segment (IOS) including the adapters to the low device drivers i
n use on the platform\, specifically the serial and Ethernet. Speakers: La
rry Kinnan\, Principal Technologist for Avionics and Safety Critical Syste
ms\, Wind River Dave Stringer\, Principal Applications Engineer\, RTI Mode
rator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: |
| The primary objective of the
FACE Technical Standard is to define a reference software architecture. T
he Reference Implementation Guide documents approaches and best industry p
ractices to instantiate the reference software architecture for developing
and verifying infrastructure software components and application componen
ts. This webinar will examine a case study of such a reference implementat
ion in order to demonstrate capabilities that can be integrated using FACE
aligned components. These components include: - An O
perating System Segment (FACE Safety Base Profile aligned) utilizing both
C and C++ runtimes
- A Trans
port Services Segment (TSS) utilizing DDS and ARINC port services
- A Platform Specific Services Segme
nt (PSSS) including Graphic Services
-
- A Portable Components Segment (PCS) implemented within a partit
ion providing the Primary Flight Display.
- An IO Services Segment (IOS) including the adapters to the
low device drivers in use on the platform\, specifically the serial and E
thernet.
| <
p style='color: #222222\;'>Speakers: Larry Kinnan\,
Principal Technologist for Avionics and Safety Critical Systems\, Wind Ri
ver Dave Stringer\, Principal Applications Engineer\, RTI Moderator: \; Brandon Lew
is\, OpenSystems Media | |
CATEGORIES:Education
UID:20160407T1653530Z-511394-801@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160414T170000
DTEND;TZID=America/Sao_Paulo:20160414T180000
SUMMARY:How Sensors Can be Applied for Wide Array of Industrial IoT Applica
tions
DESCRIPTION:This webinar covers sensor applications\, STMicroelectronics se
nsor portfolio\, and development tools. Presenters will highlight several
application examples\, explain what sensor to be used and how sensor can
benefit the applications. Then provide information for attendees to build
the solution with ST turn-key development tools. The sensors covered in
this webinar are motion/orientation sensors (gyroscope\, accelerometer\, m
agnetometer)\, environmental sensors (pressure\, humidity\, UV)\, and MEMS
microphones. Attend this webinar to learn about: Learn extensively how s
ensors can be applied for wide array of industrial IoT applications. Learn
SW and HW tools available for application evaluation and rapid product de
velopment. Learn STMicroelectronics sensor offerings and some highlighted
feature such as low power\, small footprint\, low noise\, and industrial 1
0 year longevity products. Who should attend: Product categories: Robotics
\, Smart home environment control\, Fitness wearable and equipment\, Asset
and parcel tracking\, Transportation and industrial equipment monitoring\
, and Health care body movement. REGISTER
X-ALT-DESC;FMTTYPE=text/html: T
his webinar covers sensor applications\, STMicroelectronics sensor portfol
io\, and development tools. \; Presenters will highlight several appli
cation examples\, explain what sensor to be used and how sensor can benefi
t the applications. \; Then provide information for attendees to build
the solution with ST turn-key development tools. \; The sensors cover
ed in this webinar are motion/orientation sensors (gyroscope\, acceleromet
er\, magnetometer)\, environmental sensors (pressure\, humidity\, UV)\, an
d MEMS microphones. \; Attend this webinar to learn ab
out: - Learn extensively how sensors can be applied
for wide array of industrial IoT applications.
- Learn SW and HW t
ools available for application evaluation and rapid product development.
li>
- Learn STMicroelectronics sensor offerings and some highlighted fe
ature such as low power\, small footprint\, low noise\, and industrial 10
year longevity products.
Who should attend: Product categories: Robotics\, Smart home environment control\, Fitness
wearable and equipment\, Asset and parcel tracking\, Transportation and i
ndustrial equipment monitoring\, and Health care body movement. &n
bsp\;
REGISTER
CATEGORIES:Education
UID:20160407T1656250Z-511394-802@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160419T150000
DTEND;TZID=America/Sao_Paulo:20160419T160000
SUMMARY:How Resourceful Engineers Get More Out of Their Waveform Generators
DESCRIPTION:How Resourceful Engineers Get More Out of Their Waveform Genera
tors Don't miss this live webcast! Why this webcast is important: Join our
panel as we discuss ingenious ways you can use your function generator to
create and generate signals with amazing flexibility and get a signal tha
t more accurately represents your true waveform. Whether you use a functio
n/arbitrary waveform generator\, arbitrary function generator or a simple
function generator\, the waveform generator on your bench has been a key t
ool in helping you characterize your designs. But what if we told you that
you could save time by characterizing your designs more quickly\, and ins
tead of cutting corners you could actually be more thorough? Whether you n
eed a clean waveform with low noise\, a long or complex waveform\, or a no
isy waveform that strenuously tests the limits of your design\, we will sh
are tips to help you get more out of your waveform generator with topics s
uch as: • Complex deep memory arbitrary waveforms for real world signals •
Coupling multiple channel signals for IQ\, stereo and other paired signal
s • Combining multiple signals into one for two-tone\, additive noise and
testing amplifier design Who should view this webcast: Engineers and techn
icians creating waveforms for qualification\, characterization\, test or t
roubleshooting Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:How Resourceful Engineers G
et More Out of Their Waveform Generators Don't miss this live webcast!
Why this webc
ast is important: Join our panel as we discuss ingenious wa
ys you can use your function generator to create and generate signals with
amazing flexibility and get a signal that more accurately represents your
true waveform. Whether you use a function/arbitrary waveform generator\,
arbitrary function generator or a simple function generator\, the waveform
generator on your bench has been a key tool in helping you characterize y
our designs. But what if we told you that you could save time by character
izing your designs more quickly\, and instead of cutting corners you could
actually be more thorough? Whe
ther you need a clean waveform with low noise\, a long or complex waveform
\, or a noisy waveform that strenuously tests the limits of your design\,
we will share tips to help you get more out of your waveform generator wit
h topics such as: &bull\; Complex deep memory arbitrary waveforms fo
r real world signals &bull\; Coupling multiple channel signals for I
Q\, stereo and other paired signals &bull\; Combining multiple signa
ls into one for two-tone\, additive noise and testing amplifier design
Who should view this webca
st: Engineers and technicians creating waveforms for qualif
ication\, characterization\, test or troubleshooting
CATEGORIES:Education
UID:20160407T1710180Z-511394-803@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160420T140000
DTEND;TZID=America/Sao_Paulo:20160420T150000
SUMMARY:The new 1200V CoolSiC™ Schottky Diode Generation 5 – for a new leve
l of system efficiency and reliability
DESCRIPTION:The new 1200V CoolSiC™ Schottky Diode Generation 5 complete por
tfolio has now been released. Forward currents up to 40A in TO-247\, 20A i
n TO-220 and 10A in DPAK target solar inverters\, UPS\, 3-phase SMPS\, ene
rgy storage and motor drives applications. With Generation 5\, reduction o
f forward voltage and its temperature dependency leads to a new level of s
ystem efficiency. Moreover\, an improved thermal performance compared to a
silicon based solution increases system reliability as well as the possib
ility to increase output power in a given form factor. Combined with Infin
eon's 1200V Si HighSpeed 3 IGBT\, it delivers 40% lower Si IGBT turn-on lo
sses and reduced EMI. Attend this webinar to learn about: Target applicati
ons for 1200V SiC Schottky Diodes New features and benefits of 1200V CoolS
iC™ Schottky Diode Generation 5 Portfolio mapping across target applicatio
ns Design-in guidelines for SiC versus Si diodes Infineon's support materi
al offering Who should attend? Application Engineers\, Product Marketing\,
Sales Managers\, Technical Marketing\, Purchasing REGISTER
X-ALT-DESC;FMTTYPE=text/html: T
he new 1200V CoolSiC&trade\; Schottky Diode Generation 5 complete portfoli
o has now been released. Forward currents up to 40A in TO-247\, 20A in TO-
220 and 10A in DPAK target solar inverters\, UPS\, 3-phase SMPS\, energy s
torage and motor drives applications. With Generation 5\, reductio
n of forward voltage and its temperature dependency leads to a new level o
f system efficiency. Moreover\, an improved thermal performance co
mpared to a silicon based solution increases system reliability as well as
the possibility to increase output power in a given form factor. Combined
with Infineon's 1200V Si HighSpeed 3 IGBT\, it delivers 40% lower Si IGBT
turn-on losses and reduced EMI. Attend this webinar to le
arn about: - Target applications for 1200V SiC Schot
tky Diodes
- New features and benefits of 1200V CoolSiC&trade\; Sc
hottky Diode Generation 5
- Portfolio mapping across target applic
ations
- Design-in guidelines for SiC versus Si diodes
- I
nfineon's support material offering
Who should att
end? Application Engineers\, Product Marketing\, Sales Ma
nagers\, Technical Marketing\, Purchasing  \; REGISTER
CATEGORIES:Education
UID:20160407T1700510Z-511394-804@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160503T140000
DTEND;TZID=America/Sao_Paulo:20160503T150000
SUMMARY:Achieving higher efficiency at a competitive price for applications
below 30 kHz
DESCRIPTION:The new TRENCHSTOP™ PERFORMANCE has been developed based on the
TRENCHSTOP™ IGBT technology and combines the best trade-off between condu
ction and switch-off energy with outstanding robustness and excellent EMI
behavior. The product portfolio consists of single IGBTs and IGBT co-packe
d with a half rated current diode available in 30 A\, 40 A and 50 A curren
t ratings. The new IGBT series offers higher efficiency at competitive pri
ce point for 400 W - 10 kW applications like motor control\, air condition
ing compressors\, HVAC motor drives\, UPS\, solar power converters and all
power conversion applications working up to 30 kHz in hard-switching topo
logies. Attendees will learn New IGBT series features and benefits Product
portfolio and positioning Target applications Who should attend? Applica
tion Engineers\, Product Marketing\, Sales Managers\, Technical Marketing\
, Purchasing REGISTER
X-ALT-DESC;FMTTYPE=text/html: T
he new TRENCHSTOP&trade\; PERFORMANCE has been developed based on the TREN
CHSTOP&trade\; IGBT technology and combines the best trade-off between con
duction and switch-off energy with outstanding robustness and excellent EM
I behavior. The product portfolio consists of single IGBTs and IGBT co-pac
ked with a half rated current diode available in 30 A\, 40 A and 50 A curr
ent ratings. The new IGBT series offers higher efficiency at competi
tive price point for 400 W - 10 kW applications like motor control\, air c
onditioning compressors\, HVAC motor drives\, UPS\, solar power converters
and all power conversion applications working up to 30 kHz in hard-switch
ing topologies. Attendees will learn <
li>New IGBT series features and benefits - Product portfolio and p
ositioning
- Target applications
Who shoul
d attend? \; Application Engineers\, Product Marketing\, Sale
s Managers\, Technical Marketing\, Purchasing  \; REGISTER
CATEGORIES:Education
UID:20160407T1702260Z-511394-805@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160428T110000
DTEND;TZID=America/Sao_Paulo:20160428T120000
SUMMARY:Motor Drivers\, Blowers & EMC/EMI Filters
DESCRIPTION:
X-ALT-DESC;FMTTYPE=text/html:
CATEGORIES:Education
UID:20160407T1706130Z-511394-806@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160413T090000
DTEND;TZID=America/Sao_Paulo:20160413T130000
SUMMARY:Criando aplicações gráficas para processadores i.MX 6 series com o
Qt Creator e plataformas conga-QMX6
DESCRIPTION:Muitas das aplicações atuais dependem de interfaces gráficas el
aboradas e amigáveis para fazer uso de dispositivos conectados e suas func
ionalidades. Nesse hands-on mostraremos: Como compilar uma imagem Linux co
m suporte ao Qt5 Gerar e instalar o SDK do Qt5 E ainda como criar uma simp
les aplicação gráfica conectada à rede Também serão apresentados os proces
sadores i.MX 6 series da NXP baseados na arquitetura ARM® Cortex®–A9 e as
plataformas Computer-on-Module da Congatec\, que proporcionam o menor time
-to-market desenvolvendo sistemas embarcados de baixo consumo de energia e
alta performance. Data: Quarta-feira 13 de Abril de 2016 Horário: 9h00-13
h00 Local: NXP - Techno Park Campinas\, Rua James Clerk Maxwell\, 400\, 13
069–380 – Campinas\, SP Palestrante: Tiago Velasque\, Gerente de Vendas Re
gional – América Latina\, Congatec Investimento: Gratuito *Os participante
s deverão utilizar laptops próprios. **As vagas são limitadas a 20 pessoas
. Interessado? Ótimo\, porque você experimentará isso e muito mais neste t
reinamento. Inscreva-se
X-ALT-DESC;FMTTYPE=text/html: Muitas das aplicaç\;õ\;es atuais dependem de interfa
ces grá\;ficas elaboradas e amigá\;veis para fazer uso de disp
ositivos conectados e suas funcionalidades. Nesse hands-on mostraremos: - Como compilar
uma imagem Linux com suporte ao Qt5
- Gerar e in
stalar o SDK do Qt5
- E ainda como criar uma sim
ples aplicaç\;ã\;o grá\;fica conectada à\; rede
span>
També\;m serã\;o apresentados os processadores i.MX 6 seri
es da NXP baseados na arquitetura ARM®\; Cortex®\;&ndash\;A9 e as pl
ataformas Computer-on-Module da Congatec\, que proporcionam o menor time-t
o-market desenvolvendo sistemas embarcados de baixo consumo de energia e a
lta performance. Data: Quarta-feira 13 de Abril de 2016 Horá\;rio: 9h00-13h00 Local: NX
P - Techno Park Campinas\, Rua James Clerk Maxwell\, 400\, 13069&nda
sh\;380 &ndash\; Campinas\, SP Palestrante: Tiago V
elasque\, Gerente de Vendas Regional &ndash\; Amé\;rica Latina\, Con
gatec Investimento: Gratuito *Os participante
s deverã\;o utilizar laptops pró\;prios. **As vagas s&a
tilde\;o limitadas a 20 pessoas. Interessado? Ó\;timo\, porqu
e você\; experimentará\; isso e muito mais neste treinamento.
p>  \;
Inscre
va-se
CATEGORIES:Education
UID:20160408T1753300Z-511394-807@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160428T140000
DTEND;TZID=America/Sao_Paulo:20160428T150000
SUMMARY:The Block Island Wind Farm Project – the USA’s First Commercial Off
shore Windfarm
DESCRIPTION:In this presentation you will hear from Zachary Finucane\, Keys
tone Engineering Project Manager for the Block Island project and Benjamin
Foley\, General Manager Renewables of Keystone Engineering as they discus
s the challenges of the project itself\, the lessons from the oil and gas
industry that they were able to apply\, and the key commercial factors tha
t made this project successful for the USA Offshore wind farm market. • Le
veraging oil and gas industry knowledge in the offshore wind farm market •
Success factors for offshore wind farms • How advanced engineering analys
is tools helped deliver the innovations required Register Now – Watch Apri
l 28\, 2016 at 11:00 AM EDT / 10:00 AM CDT / 8:00 AM PDT / 3:00 PM GMT Nee
d More Details?
X-ALT-DESC;FMTTYPE=text/html: In this pres
entation you will hear from Zachary Finucane\, Keystone Engineering Projec
t Manager for the Block Island project and Benjamin Foley\, General Manage
r Renewables of Keystone Engineering as they discuss the challenges of the
project itself\, the lessons from the oil and gas industry that they were
able to apply\, and the key commercial factors that made this project suc
cessful for the USA Offshore wind farm market. <
tbody> &bull\; | Leveraging oil and gas industry k
nowledge in the offshore wind farm market | &bull\; | Success f
actors for offshore wind farms | &bull\;
| How advanced enginee
ring analysis tools helped deliver the innovations required | <
/tbody> Register Now &ndash\; Watch April 28\, 2016 at 11:00 AM EDT /
10:00 AM CDT / 8:00 AM PDT / 3:00 PM GMT
Need More Detail
s?
CATEGORIES:Education
UID:20160415T1802360Z-511394-808@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160428T170000
DTEND;TZID=America/Sao_Paulo:20160428T180000
SUMMARY:Applications Based Decision Making with 3D Printing
DESCRIPTION:3D printing is widely accepted as a staple technology for advan
ced and streamlined manufacturing. However\, as with all transformative te
chnologies\, there’s a significant learning curve involved. We want to hel
p you shortcut the 3D printing knowledge gap by cutting through the noise
of an\, at times\, saturated field of printers and processes. Wherever you
are in the product development cycle\, knowing the key six requirements d
eveloped by Stratasys Direct Manufacturing to assess your project will spe
ed your time to market. These key steps will ensure you’re taking full adv
antage of the time and cost savings inherent in 3D printing. In this webin
ar\, you’ll learn: How the right 3D printing process can streamline produc
t development 3D printing technology pros and cons – from PolyJet and Ster
eolithography to Laser Sintering and Fused Deposition Modeling The best 3D
printing process for: resolution\; large and small parts\; and products d
ependent on strict mechanical properties The basis of requirements driven
manufacturing Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: 3D printing is widely accepted as a staple
technology for advanced and streamlined manufacturing. However\, as with a
ll transformative technologies\, there&rsquo\;s a significant learning cur
ve involved. We want to help you shortcut the 3D printing knowledge gap by
cutting through the noise of an\, at times\, saturated field of printers
and processes. Wherever you are in the product development cycle\, knowing
the key six requirements developed by Stratasys Direct Manufacturing to a
ssess your project will speed your time to market. These key steps will en
sure you&rsquo\;re taking full advantage of the time and cost savings inhe
rent in 3D printing. In this webinar\, you&rsquo\;ll learn: <
ul> How the right 3D printing process can streamline product developm
ent 3D printing technology pros and cons &ndash\; from PolyJet a
nd Stereolithography to Laser Sintering and Fused Deposition Modeling
The best 3D printing process for: resolution\; large and small parts
\; and products dependent on strict mechanical properties The ba
sis of requirements driven manufacturing
Register now for this live webcast
CATEGORIES:Education
UID:20160415T1804250Z-511394-809@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160524T140000
DTEND;TZID=America/Sao_Paulo:20160524T150000
SUMMARY:Replace Mechanical Buttons with Texas Instruments’ MSP MCUs Featuri
ng CapTIvate™ Technology
DESCRIPTION:May 24\, 2016 11:00 AM EDT Sponsored by: REGISTER NOW Designers
developing industrial equipment are increasingly in need of simple interf
aces that can tolerate harsh operating environments subject to noise\, dir
t and liquids which can interfere with the reliable operation of mechanica
l buttons. Capacitive touch technology offers a cost-effective alternative
to mechanical buttons across industrial and commercial applications. With
a touch-based user interface (UI)\, an appliance can be protected by a se
amless metal or plastic enclosure. Texas Instruments’ MSP MCUs with CapTIv
ate technology are the industry’s lowest power capacitive touch MCUs\, mak
ing this family ideal for implementing interfaces in devices such as batte
ry-operated electronic locks\, portable electronics and appliances with EN
ERGY STAR® requirements. Attend this webinar\, co-hosted by Arrow Electron
ics & Texas Instruments\, to learn about the features that provide robust
and reliable performance in noisy and harsh environments. The high sensiti
vity of MSP MCUs with CapTIvate technology allows the use of thick overlay
s to protect equipment and can be used with metal panels to implement meta
l-on-touch capacitive buttons. This webinar will discuss the showcase the
differentiation and benefits of MSP MCUs featuring CapTIvate technology\,
and provide a demo for using the CapTIvate Design Center to start tuning s
ensors in five minutes or less. Speakers: Pradhyum Ramkumar\, Product Mark
eting Engineer\, MSP microcontrollers\, Texas Instruments Moderator: Bran
don Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
<
br /> May 24\, 20
16 11:00 AM EDT | |
Sponsored by: |
Designers developing industrial equipment are increasingly in need of
simple interfaces that can tolerate harsh operating environments subject
to noise\, dirt and liquids which can interfere with the reliable operatio
n of mechanical buttons. Capacitive touch technology offers a cost-effecti
ve alternative to mechanical buttons across industrial and commercial appl
ications. With a touch-based user interface (UI)\, an appliance can be pro
tected by a seamless metal or plastic enclosure. Texas Instruments&rsquo\;
MSP MCUs with CapTIvate technology are the industry&rsquo\;s lowest power
capacitive touch MCUs\, making this family ideal for implementing interfa
ces in devices such as battery-operated electronic locks\, portable electr
onics and appliances with ENERGY STAR®\; requirements. Attend t
his webinar\, co-hosted by Arrow Electronics &\; Texas Instruments\, to
learn about the features that provide robust and reliable performance in
noisy and harsh environments. The high sensitivity of MSP MCUs with CapTIv
ate technology allows the use of thick overlays to protect equipment and c
an be used with metal panels to implement metal-on-touch capacitive button
s. This webinar will discuss the showcase the differentiation and benefits
of MSP MCUs featuring CapTIvate technology\, and provide a demo for using
the CapTIvate Design Center to start tuning sensors in five minutes or le
ss. | Speakers: Pradhyum Ramkumar\, Product
Marketing Engineer\, MSP microcontrollers\, Texas Instruments Moderator: \; Brandon Lewi
s\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20160415T1805570Z-511394-810@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160510T140000
DTEND;TZID=America/Sao_Paulo:20160510T150000
SUMMARY:Class 1: What is 3D printing and how it can impact your design (in
a positive way)
DESCRIPTION:3D printing is all the rage. The printers are becoming affordab
le at all levels and design engineers are finding new and better ways to u
se them in their prototypes. If you’re on the verge of developing a produc
t\, this is likely a technology that you can use to lower your costs and g
et your product to market (much) quicker. In this first of three classes\,
we’ll go over the basics of 3D printing and show engineers just what they
need to make to make use of the technology. May 10\, 2016 11am EDT Instru
ctor: Alexander Hussain Co-Founder & VP of Engineering & Design\, 3DChimer
a Moderator: Rich Nass\, OpenSystems Media REGISTER NOW FOR CLASS 1
X-ALT-DESC;FMTTYPE=text/html: 3D printing is all the rage. The printers
are becoming affordable at all levels and design engineers are finding ne
w and better ways to use them in their prototypes. If you&rsquo\;re on the
verge of developing a product\, this is likely a technology that you can
use to lower your costs and get your product to market (much) quicker. In
this first of three classes\, we&rsquo\;ll go over the basics of 3D printi
ng and show engineers just what they need to make to make use of the techn
ology. | May 10\, 2016 11am
EDT Instructor: Alexander Hussain
Co-Founder &\; VP of Engineering &\; Design\, 3DChimera Moderator: Rich Nass\, OpenSystems Media |
REGISTER NOW FOR
CLASS 1
CATEGORIES:Education
UID:20160415T1807420Z-511394-811@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160511T140000
DTEND;TZID=America/Sao_Paulo:20160511T150000
SUMMARY:Class 2: Understand the different types of 3D printing\, and how th
ey apply to your design
DESCRIPTION:Now that you know the basics of 3D printing (covered in the Day
1 class)\, we can dive deeper into the various types of 3D printing techn
ologies\, as there are many\, and the number continues to grow as the tech
nology continues to evolve. There are different types of printers and ther
e are different types of materials. And there are lots of different softwa
re packages available to create and print your design. Matching the right
printer to the right material to the right software is easy\, once you und
erstand the principles. And that’s exactly what we’ll cover in Day two of
this class. May 11\, 2016 11am EDT Instructor: Alexander Hussain Co-Founde
r & VP of Engineering & Design\, 3DChimera Moderator: Rich Nass\, OpenSyst
ems Media REGISTER NOW FOR CLASS 2
X-ALT-DESC;FMTTYPE=text/html: Now that you know the basics of 3D print
ing (covered in the Day 1 class)\, we can dive deeper into the various typ
es of 3D printing technologies\, as there are many\, and the number contin
ues to grow as the technology continues to evolve. There are different typ
es of printers and there are different types of materials. And there are l
ots of different software packages available to create and print your desi
gn. Matching the right printer to the right material to the right software
is easy\, once you understand the principles. And that&rsquo\;s exactly w
hat we&rsquo\;ll cover in Day two of this class. | May 11\, 2016<
br /> 11am EDT Instructor: Alexander Hussain Co-Founder &\; VP o
f Engineering &\; Design\, 3DChimera Moderator: Rich Nass\, OpenSystem
s Media | REGISTER NOW FOR CLASS 2<
/p>
CATEGORIES:Education
UID:20160415T1808310Z-511394-812@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160512T140000
DTEND;TZID=America/Sao_Paulo:20160512T150000
SUMMARY:Class 3: Creating an actual 3D model for your specific application
DESCRIPTION:For the third and final class in this series\, we will look at
the specific applications that make the most sense for 3D printing\, and s
how you some of the secrets that you don’t learn simply by following the s
upplied instructions. And we’ll make sure to amplify any of the key questi
ons that arose during the first two classes. May 12\, 2016 11am EDT Instru
ctor: Alexander Hussain Co-Founder & VP of Engineering & Design\, 3DChimer
a Moderator: Rich Nass\, OpenSystems Media REGISTER NOW FOR CLASS 3
X-ALT-DESC;FMTTYPE=text/html: For the third and final class in this se
ries\, we will look at the specific applications that make the most sense
for 3D printing\, and show you some of the secrets that you don&rsquo\;t l
earn simply by following the supplied instructions. And we&rsquo\;ll make
sure to amplify any of the key questions that arose during the first two c
lasses. | May 12\, 2016 11am EDT Instructor: Alexander Hus
sain Co-Founder &\; VP of Engineering &\; Design\, 3DChimera
h3> Moderator: Rich Nass\, OpenSystems Media | REGISTER NOW FOR CLASS 3
CATEGORIES:Education
UID:20160415T1809260Z-511394-813@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160427T140000
DTEND;TZID=America/Sao_Paulo:20160427T150000
SUMMARY:Understanding imaging from a software perspective
DESCRIPTION: This webcast by Tom Brennan\, President of Artemis Vision\, w
ill provide an overview of imaging from a software perspective\, covering
examples that utilize most of the common imaging algorithms and methods\,
in order to provide context. What You'll Learn: The basics of image pr
ocessing and machine vision techniques How different color spaces can be u
sed in machine vision The most important machine vision algorithms Why tra
ining and verification is important Who Should Attend: Everyone wanting to
know about machine vision software Scientists\, engineers and developers
of machine vision systems Software developers Those considering developing
machine vision software or future projects Register Now!
X-ALT-DESC;FMTTYPE=text/html: \; This webcast by Tom Brennan\, President of
Artemis Vision\, will provide an overview of imaging from a software persp
ective\, covering examples that utilize most of the common imaging algorit
hms and methods\, in order to provide context.  \; What You'll Learn:<
/strong>  \; - The basics of image processing and machine vis
ion techniques
- How different color spaces can be used in machine vision
- The most
important machine vision algorithms
- Why training and verification is importa
nt
Who Should Attend:
<
ul> Everyone w
anting to know about machine vision software Scientists\, engineers and devel
opers of machine vision systems Software developers Those considering developing
machine vision software or future projects  \;
Register Now!<
/span>  \;
CATEGORIES:Education
UID:20160415T1812420Z-511394-814@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160421T170000
DTEND;TZID=America/Sao_Paulo:20160421T180000
SUMMARY:Worn down by Fatigue? Improving Product life with Autodesk Nastran
In-CAD
DESCRIPTION:Engineers in nearly every industry and in all parts of the worl
d battle fatigue failures\, or failure/fracture due to repetitive\, low am
plitude loads\, daily. Today\, the tools to anticipate and design out fati
gue failures are more accessible and easier to use than you might think. I
n this session\, we will introduce attendees to the actual mechanisms of f
atigue failure\, key terminology\, and define important operational & mate
rial properties. Attendees will be taught to recognize possible fatigue pr
oblems and techniques to predict and eliminate them using both Finite Elem
ent Analysis (FEA) techniques as well as good design practices. We will an
swer the following questions: What is fatigue? How can I recognize it in a
failed part? How can I recognize it in an FEA simulation? What do I need
to know about my loading? What material properties do I need? What do the
answers mean and can I trust them? (Very important!) Can I design for infi
nite life and what are my alternatives? Autodesk Nastran In-CAD\, the high
-powered full featured simulation solution for Autodesk Inventor and Solid
works will be used to illustrate these topics but the general discussion w
ill be relevant to all engineers\, either using FEA currently or investiga
ting the technology for their organizations. Register now for this live we
bcast
X-ALT-DESC;FMTTYPE=text/html:Engineers in nearly every industry and in a
ll parts of the world battle fatigue failures\, or failure/fracture due to
repetitive\, low amplitude loads\, daily. Today\, the tools to anticipate
and design out fatigue failures are more accessible and easier to use tha
n you might think. In this session\, we will introduce attendees t
o the actual mechanisms of fatigue failure\, key terminology\, and define
important operational &\; material properties. Attendees will be taught
to recognize possible fatigue problems and techniques to predict and elim
inate them using both Finite Element Analysis (FEA) techniques as well as
good design practices. We will answer the following questions:
- What is fatigue?
- How can I recognize it in a failed part?
- How can I recognize it in an FEA simulation?
- What do I n
eed to know about my loading?
- What material properties do I need
?
- What do the answers mean and can I trust them? (Very important
!)
- Can I design for infinite life and what are my alternatives?<
/li>
Autodesk Nastran In-CAD\, the high-powered full featured s
imulation solution for Autodesk Inventor and Solidworks will be used to il
lustrate these topics but the general discussion will be relevant to all e
ngineers\, either using FEA currently or investigating the technology for
their organizations. Register now for this live w
ebcast  \;
CATEGORIES:Education
UID:20160415T1815450Z-511394-815@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160420T160000
DTEND;TZID=America/Sao_Paulo:20160420T170000
SUMMARY:Identify and Eliminate Crosstalk from Your Designs Using Oscillosco
pes
DESCRIPTION:Why this webcast is important Have you ever found it a challeng
e to identify which aggressor sources are causing crosstalk to your signal
? Crosstalk is a huge challenge in today’s high-speed serial design becaus
e it can corrupt the data transmission\, closes the eye-opening as well as
adding jitter into your design. Crosstalk can come from various aggressor
sources such as adjacent high-speed bus\, power supply\, phase lock loop
and reference clock. Debugging crosstalk issue can be really challenging s
tarting with identifying the aggressors and quantifying how much crosstalk
each aggressors in contributing to your signal. In this webcast\, you wil
l learn the solution to help you debug crosstalk issues with the real-time
oscilloscope. Who should attend Engineers who need to debug and character
ize designs using oscilloscopes. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is important Have you ever found it a challenge to identify which aggressor s
ources are causing crosstalk to your signal? Crosstalk is a huge challenge
in today&rsquo\;s high-speed serial design because it can corrupt the dat
a transmission\, closes the eye-opening as well as adding jitter into your
design. Crosstalk can come from various aggressor sources such as adjacen
t high-speed bus\, power supply\, phase lock loop and reference clock. Deb
ugging crosstalk issue can be really challenging starting with identifying
the aggressors and quantifying how much crosstalk each aggressors in cont
ributing to your signal. In this webcast\, you will learn the solution to
help you debug crosstalk issues with the real-time oscilloscope. <
span class='style3' style='font-size: 12px\; font-family: Arial\, Helvetic
a\, sans-serif\; font-weight: normal\;'>Who should attend
Engineers who need to debug and characterize designs using oscillos
copes. Register now for this live w
ebcast
CATEGORIES:Education
UID:20160415T1817200Z-511394-816@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160503T140000
DTEND;TZID=America/Sao_Paulo:20160503T150000
SUMMARY:Class 1: The Basics of Analog/Power Design
DESCRIPTION:Regulators\, converters\, boosters\, mixed-signal\, logic\, dri
vers\, and so on. The list seems to go on endlessly. Are you afraid to adm
it that you need a 101 course for analog/power? We can help. Day 1 of the
three-day class\, Embedded University: Analog/Power\, will give you what y
ou need to start your design\, put you on the right track\, and arm you wi
th the tools necessary to design the analog and/or power subsystem within
your design. May 3\, 2016 11am EDT Instructor: Joshua Israelsohn\, Founder
\, Director of Media and Training Services\, JAS Technical Media Moderator
: Rich Nass\, OpenSystems Media REGISTER NOW FOR CLASS 1
X-ALT-DESC;FMTTYPE=text/html: Regulators\, converters\, boosters\, mix
ed-signal\, logic\, drivers\, and so on. The list seems to go on endlessly
. Are you afraid to admit that you need a 101 course for analog/power? We
can help. Day 1 of the three-day class\, Embedded University: Analog/Power
\, will give you what you need to start your design\, put you on the right
track\, and arm you with the tools necessary to design the analog and/or
power subsystem within your design. |
May 3\, 2016 11am EDT
Instructor:
strong> Joshua Israelsohn\, Founder\, Director of Media and Tr
aining Services\, JAS Technical Media Moderator: Rich Nass\, OpenSystems
Media | REGISTER NOW FOR CLASS 1
CATEGORIES:Education
UID:20160415T1818320Z-511394-817@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160504T140000
DTEND;TZID=America/Sao_Paulo:20160504T150000
SUMMARY:Class 2: Get the Most Juice from your Battery Pack
DESCRIPTION:The functionality required by systems continues to increase and
the digital semiconductor vendors are doing a pretty good job keeping the
power requirements stable. In some cases\, they’re even dropping the volt
age levels to what considered at one time to be noise. From a power-manage
ment perspective\, how do system designers deal with this need to squeeze
every watt from the shrinking battery cells? That’s what will be covered o
n Day 2 in the three-part series of Embedded University: Analog/Power. May
4\, 2016 11am EDT Instructor: Joshua Israelsohn\, Founder\, Director of M
edia and Training Services\, JAS Technical Media Moderator: Rich Nass\, Op
enSystems Media REGISTER NOW FOR CLASS 2
X-ALT-DESC;FMTTYPE=text/html: The functionality required by systems co
ntinues to increase and the digital semiconductor vendors are doing a pret
ty good job keeping the power requirements stable. In some cases\, they&rs
quo\;re even dropping the voltage levels to what considered at one time to
be noise. From a power-management perspective\, how do system designers d
eal with this need to squeeze every watt from the shrinking battery cells?
That&rsquo\;s what will be covered on Day 2 in the three-part series of E
mbedded University: Analog/Power. | <
h2 style='font-family: 'Helvetica Neue'\, Helvetica\, Arial\, sans-serif\;
font-style: normal\; line-height: 140%\; font-weight: 300\; font-size: 18
px\; color: #4d86ad\; margin-bottom: 10px\;'>May 4\, 2016 11am EDT
h2> Instructor: Joshua Israelsohn\, Founder\, Director of Media and Trai
ning Services\, JAS Technical Media Moderator: Rich Nass\, OpenSystems Me
dia | REGISTER NOW FOR CLASS 2
CATEGORIES:Education
UID:20160415T1819190Z-511394-818@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160505T140000
DTEND;TZID=America/Sao_Paulo:20160505T150000
SUMMARY:Class 3: Digital Power Really Works\, if you use it Properly
DESCRIPTION:Digital power was just a buzzword not too long ago\, but today
it’s a viable technology. Do you understand the gains that can be had by i
mplementing this technology\, or the losses that can be suffered by not im
plementing it correctly? Attend Day 3 of the three-part series Embedded Un
iversity: Analog/Power and you’ll find out. May 4\, 2016 11am EDT Instruct
or: Joshua Israelsohn\, Founder\, Director of Media and Training Services\
, JAS Technical Media Moderator: Rich Nass\, OpenSystems Media REGISTER NO
W FOR CLASS 3
X-ALT-DESC;FMTTYPE=text/html: Digital power was just a buzzword not too
long ago\, but today it&rsquo\;s a viable technology. Do you understand t
he gains that can be had by implementing this technology\, or the losses t
hat can be suffered by not implementing it correctly? Attend Day 3 of the
three-part series Embedded University: Analog/Power and you&rsquo\;ll find
out. | May 4\, 2016 11am E
DT Instructor: Joshua Israelsohn\,
Founder\, Director of Media and Training Services\, JAS Technical Media Moderator: Rich Nass\, OpenSystems Media | REGISTER NOW FOR CLASS 3
CATEGORIES:Education
UID:20160415T1820240Z-511394-819@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160504T170000
DTEND;TZID=America/Sao_Paulo:20160504T180000
SUMMARY:Industrial IoT Security – Strategies for Enabling Security for IIoT
Endpoints
DESCRIPTION:Providing security for the IIoT starts with the Endpoints. IIoT
deployments in factory and process control systems often face unique chal
lenges and the security solutions must take these into consideration. IIoT
Security not only requires that the communication from the gateway to the
cloud is secure\, but requires that individual devices be secured against
cyber-attacks and tampering. Learn the key concepts required to enable co
mplete IIoT security. Topics covered include the challenges for securing e
mbedded devices\, strategies around intrusion detection and prevention usi
ng an embedded firewall\, encrypting data in transit\, authentication\, se
cure boot\, and more. What You Will Learn: Considerations for IIoT securit
y How to enable the necessary security architecture Addressing security fo
r embedded edge devices Integration of embedded security with enterprise s
ecurity Who Should Attend: IIoT related solution architects and product ma
nagement Embedded software engineers and managers Managers responsible for
IIoT security and platforms Speakers: Alan Grau\, President & Co-Founde
r\, Icon Labs Wil Florentino\, Sr. Marketing Mgr.\, Industrial Automation
Segment\, Renesas Electronics Moderator: Brandon Lewis\, OpenSystems Medi
a REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
Providing security for the IIoT starts with
the Endpoints. IIoT deployments in factory and process control systems oft
en face unique challenges and the security solutions must take these into
consideration. IIoT Security not only requires that the communication from
the gateway to the cloud is secure\, but requires that individual devices
be secured against cyber-attacks and tampering. Learn the key concepts re
quired to enable complete IIoT security. Topics covered include the challe
nges for securing embedded devices\, strategies around intrusion detection
and prevention using an embedded firewall\, encrypting data in transit\,
authentication\, secure boot\, and more. What You Will Learn:
- Considerations for IIoT security
- How to enable the ne
cessary security architecture
- Addressing security for embedded e
dge devices
- Integration of embedded security with enterprise sec
urity
Who Should Attend: - IIoT related solution arc
hitects and product management
- Embedded software engineers and m
anagers
- Managers responsible for IIoT security and platforms
 \; | Speakers: Alan Grau\
, President &\; Co-Founder\, Icon Labs Wil Florentino\, Sr. Marke
ting Mgr.\, Industrial Automation Segment\, Renesas Electronics Moderator: \; Brandon Lew
is\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20160415T1919300Z-511394-820@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160504T160000
DTEND;TZID=America/Sao_Paulo:20160504T170000
SUMMARY:Getting into SystemVerilog from VHDL: Guidance from a VHDL Guru
DESCRIPTION:In this webinar Doulos Senior Member Technical Staff\, Doug Per
ry (author of 'Programming By Example') will provide a VHDL Guru's perspec
tive on SystemVerilog and UVM. Doug will look at the language features of
SystemVerilog\, contrasting them with what is available in VHDL\, and high
lighting the challenges of making the transition. Coding examples will b
e shown running on Aldec Riviera-PRO™. This training webinar will consis
t of a one-hour broadcast with interactive Q&A available to attendees thro
ughout. Attendance is free of charge. Content Summary: What is SystemV
erilog? | Language evolution | Language features Standard verification met
hodologies | References | Q&A REGISTER
X-ALT-DESC;FMTTYPE=text/html:In this webinar Doulos Senio
r Member Technical Staff\, Doug Perry (author of 'Program
ming By Example') will provide a VHDL Guru's perspective on SystemVerilog
and UVM. Doug will look at the language features of SystemVerilog\, contra
sting them with what is available in VHDL\, and highlighting the challenge
s of making the transition.  \; Coding examples will be shown running on Aldec Riviera-PRO&trade\;.  \; This training web
inar will consist of a one-hour broadcast with interactive Q&\;A availa
ble to attendees throughout.  \; Attendance is free of charge.  \;
Content Summary: What is SystemVerilog? | Language evolution | Language features Standard verification methodologies | References | Q&\;A
 \; REGISTER<
/strong>
CATEGORIES:Education
UID:20160429T1748500Z-511394-821@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160518T170000
DTEND;TZID=America/Sao_Paulo:20160518T180000
SUMMARY:Printed/Flexible/Stretchable Sensors: Technologies and Applications
for IoT and Wearables
DESCRIPTION: Printed/Flexible/Stretchable Sensors: Technologies and Applic
ations for IoT and Wearables Date: Wednesday\, May 18th 2:00 pm ET | 11:00
am PT REGISTER NOW! The availability of sensors that can take the sha
pe of and work reliably in their imposed complex and demanding working env
ironment has existed for quite some time. The recent popularity of the Int
ernet of Things (IoT)\, wearables\, and disposables has created the need f
or low-cost single or multiple sensors per system that are small\, lightwe
ight and low power consuming that can also conform to the shape of the env
ironment in which they must operate. Printed/ Flexible/Stretchable sensors
are estimated to be $6 billion of the $340 billion flexible electronics m
arket by 2030. This Webinar provides a sneak preview of the full-day Pre-C
onference Symposium that will be taking place at this year's Sensors Expo
in San Jose. Attendees of this webinar will foster better understanding of
current and future opportunities presented by P/F/S sensors\, their appli
cations\, and the recommended strategies necessary to effectively exploit
their commercialization opportunities. Click here to register.
X-ALT-DESC;FMTTYPE=text/html: \;  \; The availability of sensors that can take t
he shape of and work reliably in their imposed complex and demanding worki
ng environment has existed for quite some time. The recent popularity of t
he Internet of Things (IoT)\, wearables\, and disposables has created the
need for low-cost single or multiple sensors per system that are small\, l
ightweight and low power consuming that can also conform to the shape of t
he environment in which they must operate. Printed/ Flexible/Stretchable s
ensors are estimated to be $6 billion of the $340 billion flexible electro
nics market by 2030. This Webinar provides a sneak preview of the full-day
Pre-Conference Symposium that will be taking place at this year's Sensors Expo in San Jose. Attendees of this
webinar will foster better understanding of current and future opportunit
ies presented by P/F/S sensors\, their applications\, and the recommended
strategies necessary to effectively exploit their commercialization opport
unities. Click here to register.
CATEGORIES:Education
UID:20160429T1745190Z-511394-822@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160505T170000
DTEND;TZID=America/Sao_Paulo:20160505T180000
SUMMARY:Cost Effective Magnetic Sensors and CAN with Flexible Data Rate
DESCRIPTION:The first section of this presentation will cover Linear Hall S
ensors\, Hall Switches\, Angle Sensors and 3D Magnetic Sensors. Recent adv
ances in magnetic sensing technology has led to an improvement in the sens
ing accuracy of magnetic fields. Cost breakthroughs have led to angle sens
ing being done with hall sensors replacing more expensive rotary sensor so
lutions. Advances in magnetic sensing technology now offers the opportunit
y to do true 3D sensing more cost effectively. The second section of this
presentation will cover advancements in the CAN communication protocol all
owing for more data through put with the same base technology. This new de
velopment is referred to as CAN with Flexible Data Rate\; which allows for
eight times as much data per message frame and up to four times faster th
an traditional CAN. We will touch upon the Infineon products that enable t
his new communication innovation. Attendees will learn Technology behind t
he new magnetic sensors Cost effective magnetic sensing solutions Basics o
f the new CAN Protocol Infineon Micros and Transceivers that support CAN w
ith Flexible Data Rate Who should attend? Industrial\, Automotive designer
s and engineers REGISTER
X-ALT-DESC;FMTTYPE=text/html: T
he first section of this presentation will cover Linear Hall Sensors\, Hal
l Switches\, Angle Sensors and 3D Magnetic Sensors. \;Recent advances
in magnetic sensing technology has led to an improvement in the sensing ac
curacy of magnetic fields. \;Cost breakthroughs have led to angle sens
ing being done with hall sensors replacing more expensive rotary sensor so
lutions. Advances in magnetic sensing technology now offers the opportunit
y to do true 3D sensing more cost effectively. The second section
of this presentation will cover advancements in the CAN communication prot
ocol allowing for more data through put with the same base technology. Thi
s new development is referred to as CAN with Flexible Data Rate\; which al
lows for eight times as much data per message frame and up to four times f
aster than traditional CAN. We will touch upon the Infineon products that
enable this new communication innovation. Attendees will l
earn - Technology behind the new magnetic sensors
- Cost effective magnetic sensing solutions
- Basics of the
new CAN Protocol
- Infineon Micros and Transceivers that support C
AN with Flexible Data Rate
Who should attend? Industrial\, Automotive designers and engineers  \;
REG
ISTER
CATEGORIES:Education
UID:20160429T1748290Z-511394-823@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160518T140000
DTEND;TZID=America/Sao_Paulo:20160518T150000
SUMMARY:Multidimensional sensing – accuracy in miniature: 3D magnetic and p
ressure sensors
DESCRIPTION:The new 3D magnetic sensor TLV493D-A1B6 offers accurate three d
imensional sensing with extremely low power consumption. With an opportuni
ty to detect the magnetic field in x\, y\, and z-direction the sensor is i
deally suited for the measurement of 3D movements\, linear movements and r
otation movements. Possible applications include joysticks\, control eleme
nts (white goods\, multifunction knops)\, or electric meters (anti tamperi
ng). The DPS310 is a miniaturized Digital Barometric Air Pressure Sensor w
ith a high accuracy level and low current consumption. The DPS310 is both
a pressure and temperature sensor. The pressure sensor element is based on
a capacitive principle\, which guarantees high precision during temperatu
re changes. The small package makes the DPS310 ideal for mobile applicatio
ns\, wearable devices\, weather stations and IoT. Join the webinar and lea
rn more about our recommendations for your design! Attendees will learn 3D
magnetic sensors How to build Joysticks with 3D magnetic sensors Pressure
Sensors Who should attend? Application Engineers\, Product Marketing\, Te
chnical Marketing\, Sales Organization REGISTER
X-ALT-DESC;FMTTYPE=text/html: T
he new 3D magnetic sensor TLV493D-A1B6 offers accurate three dimensional s
ensing with extremely low power consumption. With an opportunity to detect
the magnetic field in x\, y\, and z-direction the sensor is ideally suite
d for the measurement of 3D movements\, linear movements and rotation move
ments. Possible applications include joysticks\, control elements (white g
oods\, multifunction knops)\, or electric meters (anti tampering). The DPS
310 is a miniaturized Digital Barometric Air Pressure Sensor with a high a
ccuracy level and low current consumption. The DPS310 is both a pressure a
nd temperature sensor. The pressure sensor element is based on a capacitiv
e principle\, which guarantees high precision during temperature changes.
The small package makes the DPS310 ideal for mobile applications\, wearabl
e devices\, weather stations and IoT. Join the webinar and learn m
ore about our recommendations for your design! Attendees w
ill learn - 3D magnetic sensors
- How to bui
ld Joysticks with 3D magnetic sensors
- Pressure Sensors
Who should attend? Application Engineers\, Product
Marketing\, Technical Marketing\, Sales Organization  \;
RE
GISTER
CATEGORIES:Education
UID:20160429T1757580Z-511394-824@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160519T140000
DTEND;TZID=America/Sao_Paulo:20160519T150000
SUMMARY:Strong security for smart factories
DESCRIPTION:Smart factories rely on networked industrial environments\, whi
ch promise huge efficiency gains and customized production capabilities. H
owever\, automated communication between cyber-physical systems also poses
significant threats and risks to suppliers as well as customers. This web
inar starts off by giving you an overview of the security challenges facin
g smart factories\, going on to introduce protection concepts for industri
al devices such as routers\, PLCs and industrial PCs. It winds up with rea
l-world solutions that show you how to secure authentication and protect t
he integrity of your industrial devices. Attendees will learn About common
security threats facing smart factories How hardware-based security enabl
es strong protection of industrial automation systems About implementation
concepts for secured authentication and protection of device integrity Wh
o should attend? Design engineers\, system architects and product managers
involved in device and system design for industrial automation systems
REGISTER
X-ALT-DESC;FMTTYPE=text/html: S
mart factories rely on networked industrial environments\, which promise h
uge efficiency gains and customized production capabilities. However\, aut
omated communication between cyber-physical systems also poses significant
threats and risks to suppliers as well as customers. This webinar
starts off by giving you an overview of the security challenges facing sm
art factories\, going on to introduce protection concepts for industrial d
evices such as routers\, PLCs and industrial PCs. It winds up with real-wo
rld solutions that show you how to secure authentication and protect the i
ntegrity of your industrial devices. Attendees will learn<
/strong> - About common security threats facing smart factori
es
- How hardware-based security enables strong protection of indu
strial automation systems
- About implementation concepts for secu
red authentication and protection of device integrity
Who should attend? Design engineers\, system architects
and product managers involved in device and system design for industrial a
utomation systems  \; REGISTER
CATEGORIES:Education
UID:20160429T1758400Z-511394-825@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160601T140000
DTEND;TZID=America/Sao_Paulo:20160601T150000
SUMMARY:Security solutions for today’s connected car
DESCRIPTION:Connected cars will be at the heart of tomorrow’s mobility land
scape. They will have full Internet access\, also supporting mesh communic
ations with other vehicles and road/traffic infrastructure. However\, this
increased level of communication also opens up the risk of cyber-attacks.
This webinar presents proven solutions that enable you to build an automo
tive communications architecture that can benefit from the growing opportu
nities of connectivity without compromising on security. Attendees will le
arn How to benefit from car connectivity without exposing security risks A
bout security measures required to protect the system architecture of a co
nnected car About recommended countermeasures such as secured firmware upd
ates How software updates over the air (SOTA) can be secured with TPM (Tru
sted Platform Module) Who should attend? Design engineers\, system archite
cts\, product managers that are involved in car connectivity REGISTER
X-ALT-DESC;FMTTYPE=text/html: C
onnected cars will be at the heart of tomorrow&rsquo\;s mobility landscape
. They will have full Internet access\, also supporting mesh communication
s with other vehicles and road/traffic infrastructure. However\, this incr
eased level of communication also opens up the risk of cyber-attacks.
This webinar presents proven solutions that enable you to build an aut
omotive communications architecture that can benefit from the growing oppo
rtunities of connectivity without compromising on security. Attendees will learn - How to benefit from car con
nectivity without exposing security risks
- About security measure
s required to protect the system architecture of a connected car
- About recommended countermeasures such as secured firmware updates
- How software updates over the air (SOTA) can be secured with TPM (Trus
ted Platform Module)
Who should attend? Design engineers\, system architects\, product managers that are invol
ved in car connectivity  \; REGISTER
CATEGORIES:Education
UID:20160429T1800020Z-511394-826@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160607T140000
DTEND;TZID=America/Sao_Paulo:20160607T150000
SUMMARY:Integrating the right security in smart homes
DESCRIPTION:Smart homes are one of the fastest-growing segments in the IoT.
Intelligent applications such as connected thermostats\, washing machines
and lights make life easier and more convenient for home dwellers\, also
helping to cut electricity bills. However\, these networked devices can al
so open virtual doors to unwanted guests. The challenge facing smart home
service providers and device manufacturers lies in protecting their servic
es and products against digital threats. In this webinar we will explain h
ow a smart home basically works\, how attacks affect a smart home and how
providers can protect their products\, business models and services for th
e benefit of the consumer. Attendees will learn More about typical smart h
ome systems\, applications and devices About common threats facing smart h
omes and the resulting need for security What security measures should be
implemented in the system architecture of a smart home Who should attend?
Design engineers\, system and security architects and product managers inv
olved in device and system design for smart homes REGISTER
X-ALT-DESC;FMTTYPE=text/html: S
mart homes are one of the fastest-growing segments in the IoT. Intelligent
applications such as connected thermostats\, washing machines and lights
make life easier and more convenient for home dwellers\, also helping to c
ut electricity bills. However\, these networked devices can also open virt
ual doors to unwanted guests. The challenge facing smart home service prov
iders and device manufacturers lies in protecting their services and produ
cts against digital threats. In this webinar we will explain how a
smart home basically works\, how attacks affect a smart home and how prov
iders can protect their products\, business models and services for the be
nefit of the consumer. Attendees will learn
- More about typical smart home systems\, applications and devices
- About common threats facing smart homes and the resulting need
for security
- What security measures should be implemented in the
system architecture of a smart home
Who should at
tend? Design engineers\, system and security architects and
product managers involved in device and system design for smart homes
 \; REGISTER
CATEGORIES:Education
UID:20160429T1803460Z-511394-827@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160621T140000
DTEND;TZID=America/Sao_Paulo:20160621T150000
SUMMARY:Securing the networks and servers in the IoT’s nervous system
DESCRIPTION:Easily overlooked\, the all-important central nervous system be
hind the IoT is made up of computers\, servers and networks. The conventio
nal approach to IoT security focuses on devices – such as sensors and moto
rs – that are connected to networks. Yet most recent IoT attacks exploit s
ecurity gaps in the actual servers\, networks or the cloud. In this webina
r\, we will explain why and how attackers target IoT networks and servers.
We will also look at how you can use proven technologies to make your IoT
networks and servers more resistant to attacks. Attendees will learn More
about typical security threats facing IoT networks and servers About reco
mmended countermeasures such as secured firmware updates More about real a
ttacks that actually took place and successful defense strategies Who shou
ld attend? Design engineers and managers\, system architects and engineers
involved in product or system development for IoT networks\, servers or c
loud computing systems REGISTER
X-ALT-DESC;FMTTYPE=text/html: E
asily overlooked\, the all-important central nervous system behind the IoT
is made up of computers\, servers and networks. The conventional approach
to IoT security focuses on devices &ndash\; such as sensors and motors &n
dash\; that are connected to networks. Yet most recent IoT attacks exploit
security gaps in the actual servers\, networks or the cloud. In t
his webinar\, we will explain why and how attackers target IoT networks an
d servers. We will also look at how you can use proven technologies to mak
e your IoT networks and servers more resistant to attacks. Attendees will learn - More about typical security
threats facing IoT networks and servers
- About recommended counte
rmeasures such as secured firmware updates
- More about real attac
ks that actually took place and successful defense strategies
Who should attend? Design engineers and managers
\, system architects and engineers involved in product or system developme
nt for IoT networks\, servers or cloud computing systems  \;
p> REGISTER
CATEGORIES:Education
UID:20160429T1812220Z-511394-828@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160510T170000
DTEND;TZID=America/Sao_Paulo:20160510T180000
SUMMARY:Trending Embedded Markets and Applications for DIY
DESCRIPTION: Sponsored by: REGISTER NOW There are a variety of do it yours
elf (DIY) boards available on the market now with processors and I/O that
are geared toward supporting various embedded market segments. However\, i
t can be challenging to sift through the DIY platforms\, tools\, and softw
are options to understand which platform is right for the application. Joi
n us as experts in DIY platforms discuss the options\, resources\, and sol
utions to identify the right platform for an embedded application\, and pr
esent examples of DIY-to-production solutions for markets within embedded.
Sponsors: Ayla Networks\, Intel Moderator: Brandon Lewis\, OpenSystems M
edia REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | | Sponsored by: REGISTER NOW | There are a variety of do it yours
elf (DIY) boards available on the market now with processors and I/O that
are geared toward supporting various embedded market segments. However\, i
t can be challenging to sift through the DIY platforms\, tools\, and softw
are options to understand which platform is right for the application. Joi
n us as experts in DIY platforms discuss the options\, resources\, and sol
utions to identify the right platform for an embedded application\, and pr
esent examples of DIY-to-production solutions for markets within embedded.
| Sponsors: Ayla Networks\, Intel Moderator: \; Brandon L
ewis\, OpenSystems Media | |  \;
CATEGORIES:Education
UID:20160429T1910090Z-511394-829@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160517T170000
DTEND;TZID=America/Sao_Paulo:20160517T180000
SUMMARY:The Next Frontier in IoT Analytics with Machine Data
DESCRIPTION: The scale of connected devices and data continues to present
challenges. Data analytics and IoT are driving extensive transformation ac
ross industries including medical devices\, agriculture\, transportation\,
manufacturing\, homes\, and smart cities. Join ThingWorx and Glassbeam fo
r a conversation focused on overcoming once insurmountable hurdles – to tr
ansform complex log file data into structured formats – and combine it wit
h sophisticated machine learning technology to enable companies to derive
valuable business insights from their connected products. Speakers: Puneet
Pandit\, CEO and Co-Founder\, Glassbeam Ryan Caplan\, President & GM of A
nalytics\, ColdLight Solutions Moderator: Brandon Lewis\, OpenSystems Med
ia REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
 \; | | The s
cale of connected devices and data continues to present challenges. Data a
nalytics and IoT are driving extensive transformation across industries in
cluding medical devices\, agriculture\, transportation\, manufacturing\, h
omes\, and smart cities. Join ThingWorx and Glassbeam for a conversation f
ocused on overcoming once insurmountable hurdles &ndash\; to transform com
plex log file data into structured formats &ndash\; and combine it with so
phisticated machine learning technology to enable companies to derive valu
able business insights from their connected products. | <
tr> Sp
eakers: Puneet Pandit\, CEO and Co-Founder\, Glassbeam Ryan Caplan\, President &\; GM of Analytics\, ColdLight Solutions
Moderator: \; Bran
don Lewis\, OpenSystems Media | | <
/tbody>  \;
CATEGORIES:Education
UID:20160429T1911210Z-511394-830@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160503T160000
DTEND;TZID=America/Sao_Paulo:20160503T170000
SUMMARY:DisplayPort 1.3 over Type-C: Taming the Gotchas!
DESCRIPTION:Why this webcast is important: VESA released the first type C c
onnector alternate mode specification shortly after the USB-IF released it
s power delivery and type C specifications\, by tailoring the DP1.3 specif
ication to the new connector. This presentation will provide an overview o
f how the alternate mode works for DisplayPort and discuss in depth the im
plications of the type C specification and how it changes the testing appr
oach to DisplayPort. In addition\, the Compliance test specifications of D
P1.3 standard and DP1.3 over type C will be discussed and the approaches t
aken by Keysight to solve the many specific tasks of validation of these s
pecifications. Who should attend Engineers who need to validate designs wi
th DisplayPort 1.3. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is impor
tant: VESA released the first type C connector alternate mo
de specification shortly after the USB-IF released its power delivery and
type C specifications\, by tailoring the DP1.3 specification to the new co
nnector. This presentation will provide an overview of how the alternate m
ode works for DisplayPort and discuss in depth the implications of the typ
e C specification and how it changes the testing approach to DisplayPort.
In addition\, the Compliance test specifications of DP1.3 standard and DP1
.3 over type C will be discussed and the approaches taken by Keysight to s
olve the many specific tasks of validation of these specifications. <
p class='style3' style='font-size: 12px\; font-family: Arial\, Helvetica\,
sans-serif\; font-weight: normal\;'>Who should attend Engineers who need to validate designs with DisplayPort 1.3.
CATEGORIES:Education
UID:20160429T1912330Z-511394-831@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160512T170000
DTEND;TZID=America/Sao_Paulo:20160512T180000
SUMMARY:Better Embedded Software Testing through Static Analysis
DESCRIPTION: Sponsored by: REGISTER NOW Software testing is ubiquitous and
an integral part of embedded code verification. However\, it can be an ex
pensive activity especially if done in an ad-hoc manner towards the end of
the development process. Test-Driven Development brings certain advantage
s that help structure the process for efficiently validating the functiona
l requirements that need to be met. But any such approach relying on testi
ng alone is incomplete as they fail to meet the implicit requirements of r
obustness explicitly called out in standards like DO 178\, ISO 26262\, IEC
62304 etc. Code Proving (an advanced form of static analysis) provides a
way to verify implementation correctness as the code is being written. Com
bining Code Proving with dynamic testing yields safer\, secure and more ro
bust / reliable software early in the development process. This significan
tly reduces the time spent later in the project debugging run time errors\
, eliminating redundant testing activities while improving overall code qu
ality. Speaker: Matt Rhodes\, Senior Application Engineer\, MathWorks Mode
rator: John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | | Sponsored by: <
br /> | Software testing is ubiquitous and an
integral part of embedded code verification. However\, it can be an expens
ive activity especially if done in an ad-hoc manner towards the end of the
development process. Test-Driven Development brings certain advantages th
at help structure the process for efficiently validating the functional re
quirements that need to be met. But any such approach relying on testing a
lone is incomplete as they fail to meet the implicit requirements of robus
tness explicitly called out in standards like DO 178\, ISO 26262\, IEC 623
04 etc. Code Proving (an advanced form of static analysis) provides a way
to verify implementation correctness as the code is being written. Combini
ng Code Proving with dynamic testing yields safer\, secure and more robust
/ reliable software early in the development process. This significantly
reduces the time spent later in the project debugging run time errors\, el
iminating redundant testing activities while improving overall code qualit
y. | Speaker: Matt Rhodes\, Senior Applicat
ion Engineer\, MathWorks Moderato
r: \; John McHale\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20160429T1913410Z-511394-832@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160519T170000
DTEND;TZID=America/Sao_Paulo:20160519T180000
SUMMARY:Discover Why Polarion ALM is Right for Your Business
DESCRIPTION: Join Stefano Rizzo\, SVP\, Polarion Software\, a Siemens Comp
any\, on May 19\, 2016 as he discusses the benefits of an unified and holi
stic ALM approach to software development in Enterprise IT and Product Dev
elopment. In addition\, hear first-hand key findings recently published in
the 2016-17 OVUM Decision Matrix\; Selecting an ALM Management Solution o
n how “using an integrated holistic ALM solution is the best way to benefi
t from the promise of ALM which includes real-time end-to-end traceability
\, project visibility\, and team collaboration.” and how “Polarion ALM had
a holistic\, integrated\, ALM solution in-the-box before the market under
stood its advantages”. Topics Stefano will cover: True ALM: holistic lifec
ycle management to ensure traceability\, visibility\, and collaboration Ma
naging complex Application Development or addressing Agile projects can ha
ve a single answer\, in Ovum’s view Discover why Polarion is a leader in O
vum Decision Matrices for both ALM and Agile PM Get an overview of what “H
olistic ALM” means with Polarion: unified ALM open to 3rd party integratio
ns and PLM This 60-minute webinar will give you insights into how a fully
integrated ALM solution can help you stay ahead in a rapidly changing land
scape Speaker: Stefano Rizzo\, SVP\, Polarion Software Moderator: Brandon
Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | Join Stefano Rizzo\, SVP\, Polarion Software\, a Siemens Company\, o
n May 19\, 2016 as he discusses the benefits of an unified and holistic AL
M approach to software development in Enterprise IT and Product Developmen
t. In addition\, hear first-hand key findings recently published i
n the 2016-17 OVUM Decision Matrix\; Selecting an ALM Management Solution
on how &ldquo\;using an integrated holistic ALM solution is the best way t
o benefit from the promise of ALM which includes real-time end-to-end trac
eability\, project visibility\, and team collaboration.&rdquo\; and how &l
dquo\;Polarion ALM had a holistic\, integrated\, ALM solution in-the-box b
efore the market understood its advantages&rdquo\;. Topics Stefano
will cover: - True ALM: holistic lifecycle management to ens
ure traceability\, visibility\, and collaboration
- Managing compl
ex Application Development or addressing Agile projects can have a single
answer\, in Ovum&rsquo\;s view
- Discover why Polarion is a leader
in Ovum Decision Matrices for both ALM and Agile PM
- Get an over
view of what &ldquo\;Holistic ALM&rdquo\; means with Polarion: unified ALM
open to 3rd party integrations and PLM
This 60-minute web
inar will give you insights into how a fully integrated ALM solution can h
elp you stay ahead in a rapidly changing landscape |
Speak
er: Stefano Rizzo\, SVP\, Polarion Software Moderator: \; Brandon Lewis\,
OpenSystems Media | <
td style='background-color: #2c3460\; color: #fff\; width: 650px\; font-fa
mily: Helvetica\, Arial\, sans-serif\; font-size: 13px\; font-weight: bold
\; text-align: center\; padding-top: 10px\; padding-bottom: 10px\; border-
radius: 6px\;'>REG
ISTER NOW |  \;
CATEGORIES:Education
UID:20160429T1915210Z-511394-833@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160503T170000
DTEND;TZID=America/Sao_Paulo:20160503T180000
SUMMARY:Identifying the Root Cause of EMC Compliance Problems at a PCB leve
l
DESCRIPTION:EMC chambers are not the best tool to use for debugging when a
device fails compliance tests or to diagnose root causes of failures. The
probe array based Very-Near-Field scanning technique developed by EMSCAN a
llows real-time evaluation of the spatial distribution of emissions over t
he entire board. Visualization of these fields overlaid on CAD files of th
e board provide tremendous insight the root cause of emission problems and
assist with solving these problems. Combining the array based approach wi
th slight mechanical motion provides the ability to measure with very high
resolution and allows designers to even peer inside an IC. In this Webina
r\, test results from real world PCBs and ICs will be shown\, along with a
pplications of how the spatial distribution of emissions can be used to so
lve problems. For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:EMC chambers are not the best tool to use f
or debugging when a device fails compliance tests or to diagnose root caus
es of failures. The probe array based Very-Near-Field scanning technique d
eveloped by EMSCAN allows real-time evaluation of the spatial distribution
of emissions over the entire board.
Visualization of these f
ields overlaid on CAD files of the board provide tremendous insight the ro
ot cause of emission problems and assist with solving these problems. Comb
ining the array based approach with slight mechanical motion provides the
ability to measure with very high resolution and allows designers to even
peer inside an IC.
In this Webinar\, test results from real w
orld PCBs and ICs will be shown\, along with applications of how the spati
al distribution of emissions can be used to solve problems.
F
or more information and to register\, click here.
CATEGORIES:Education
UID:20160429T1917510Z-511394-834@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160524T123000
DTEND;TZID=America/Sao_Paulo:20160524T133000
SUMMARY:SystemVision Cloud: A New Online Environment for Robotic System Des
ign
DESCRIPTION:Overview This live webinar describes SystemVision® Cloud\, a ne
w\, free\, online design and simulation environment uniquely suited for an
alyzing and improving robotic systems. Robotic systems are complex. The in
teraction of analog and digital electronics\, mechanics\, sensors\, actuat
ors\, and control systems can make it difficult to predict if a particular
system design will robustly and reliably meet the system requirements. Th
e SystemVision environment’s model-based design methodology provides a vir
tual system test bench for evaluating design ideas\, analyzing parametric
choices\, optimizing performance\, and jump-starting production. This webi
nar will focus on electric motor speed and position control examples\, tak
en from the 2016 First Robotics Competition (FRC). What You Will Learn How
to access the new SystemVision environment How to model and simulate syst
ems that include motors\, motor drives\, mechanical loads\, position and s
peed sensors\, and feedback control algorithms (such as PID controls) How
to predict system performance\, such as force\, speed\, response time\, st
ability\, and energy consumption How to use simulation to detect reliabili
ty issues\, such as motor overheating Who Should Attend Electrical enginee
rs who want a more productive environment for circuit design\, modeling\,
and simulation System\, mechanical\, and control engineers responsible for
robotics and feedback control systems Engineering managers who want bette
r collaboration for multi-discipline system design FRC students and mentor
s wanting more advanced tools Products Covered systemvision.com REGISTER
X-ALT-DESC;FMTTYPE=text/html:Overview This live webinar descri
bes SystemVision&
reg\; Cloud\, a new\, free\, online design and simulation environment
uniquely suited for analyzing and improving robotic systems. Robot
ic systems are complex. The interaction of analog and digital electronics\
, mechanics\, sensors\, actuators\, and control systems can make it diffic
ult to predict if a particular system design will robustly and reliably me
et the system requirements. The SystemVision environment&rsquo\;s
model-based design methodology provides a virtual system test bench for ev
aluating design ideas\, analyzing parametric choices\, optimizing performa
nce\, and jump-starting production. This webinar will focus on ele
ctric motor speed and position control examples\, taken from the 2016 First Robotics Competiti
on (FRC). What You Will Learn - How to access t
he new SystemVision environment
- How to model and simulate system
s that include motors\, motor drives\, mechanical loads\, position and spe
ed sensors\, and feedback control algorithms (such as PID controls)
- How to predict system performance\, such as force\, speed\, response t
ime\, stability\, and energy consumption
- How to use simulation t
o detect reliability issues\, such as motor overheating
W
ho Should Attend - Electrical engineers who want a more prod
uctive environment for circuit design\, modeling\, and simulation
System\, mechanical\, and control engineers responsible for robotics and
feedback control systems - Engineering managers who want better c
ollaboration for multi-discipline system design
- FRC students and
mentors wanting more advanced tools
Products Covered REGISTER
CATEGORIES:Education
UID:20160505T1812220Z-511394-835@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160525T170000
DTEND;TZID=America/Sao_Paulo:20160525T180000
SUMMARY:Trends in Next-Gen Antenna Manufacturing
DESCRIPTION:In this Webinar\, our expert panelists will discuss modern ante
nna design challenges and new manufacturing solutions to those challenges.
Topics include: • The creation of materials with 'designer' propertie
s • Molding techniques for antenna substrates and radomes • Custom
conductive inks and the application of inks onto 2D and 3D surfaces F
or more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:In this Webinar\, our expert panelists will
discuss modern antenna design challenges and new manufacturing solutions
to those challenges.
Topics include:  \; |  \; | &b
ull\; | The creation of materials with 'designer' properties |
 \; |  \; | &bull\; | Molding techniques for antenna substrates and radomes | <
tr>  \; |  \; | &bull\; | Custom conductive inks and the application of inks onto 2D and 3
D surfaces |  \; |
 \; |
For more information and to register\, click here.
CATEGORIES:General
UID:20160505T1824280Z-511394-836@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160511T170000
DTEND;TZID=America/Sao_Paulo:20160511T180000
SUMMARY:Designing Parts with Moldability in Mind
DESCRIPTION:Join us for a free 60-minute webinar\, 'Designing Parts with Mo
ldability in Mind\,' on Wednesday\, May 11\, at 2:00 PM EDT. Knowing where
to begin when designing an injection-molded part can be a daunting task.
In this webinar we will walk you through what you need to know at the begi
nning of your design process including understanding key design considerat
ions\, avoiding cosmetic defects and improving manufacturability with auto
mated analysis. You will learn: • Draft and wall thickness guidelines •
How to reduce cosmetic concerns such as sink\, warp and blemishes • Unders
tanding design for manufacturability feedback • How to leverage Proto Labs
for everything discussed
X-ALT-DESC;FMTTYPE=text/html:Join us for a free 60-minute webinar\, 'Designing Parts with Moldability in Mind\,' on Wednesday\, M
ay 11\, at 2:00 PM EDT. Knowing where to begin when designing an i
njection-molded part can be a daunting task. In this webinar we will walk
you through what you need to know at the beginning of your design process
including understanding key design considerations\, avoiding cosmetic defe
cts and improving manufacturability with automated analysis.  
\; You will learn: &bull\; | Draft and wall thickness guidelines | &bull\;
strong> | How to reduce cosmetic concerns such as sink\, warp and blemishes |
&bull\; | Understanding design for man
ufacturability feedback | &bull\; | How to leverage Proto
Labs for everything discussed |  \;<
/p>
CATEGORIES:Education
UID:20160505T1827150Z-511394-837@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160510T160000
DTEND;TZID=America/Sao_Paulo:20160510T173000
SUMMARY:Adapting to Climate Change Using Intelligent Transportation Systems
DESCRIPTION: Background Within the national framework for addressing clima
te change\, Intelligent Transportation Systems (ITS) is an important strat
egy for meeting the growing need for climate resiliency and adaption. ITS
uses a variety of sensors to monitor the highway network such as traffic v
olume and speed detectors\, cameras\, pavement\, and weather monitoring sy
stems. All sensors are designed to communicate continuously with a central
ized Transportation Management Center (TMC). This sensor data\, when integ
rated with construction and incident reports\, are used by TMC operators t
o create real time situational awareness of the highway system for the ben
efit of travelers\, public safety\, emergency responders\, and transportat
ion managers. Climate change has and will result in the increased frequenc
y and severity of disrupting weather events. These events require a proact
ive response across the traffic\, maintenance\, and emergency management c
ommunities. New sensor technology and applications are being developed to
help identify vulnerable regions and transportation routes that are subjec
t to flooding\, fog\, smoke from wildfires or other harmful pollutants\, h
igh winds\, ice\, damaged pavement\, and bridge weight restrictions. This
approach will not only require new sensing capability from the field\, but
also a means of identifying alternate routes and/or alternate transportat
ion modes. The following speakers will touch on all of these components in
order to illustrate new approaches to building transportation resiliency
and adapting to climate change. Target Audience Anyone interested in cli
mate change\, Intelligent Transportation Systems\, Transportation System M
anagement and Operations (TSM&O)\; Bridge Engineers\, City Planners\, Emer
gency and Public Safety Managers\, and academic and research staff. Learni
ng Objectives Gain a better understanding of the need for expanded transpo
rtation system monitoring to keep up with severe weather disruptions assoc
iated with climate change. Learn the latest technologies associated with I
ntelligent Transportation Systems (ITS) that monitor the transportation ne
twork and critical infrastructure. Gain a clearer understanding of how Tra
nsportation System Managers and Transportation Management Centers (TMCs) a
re using new sensor technology to improve their operations. Gain an unders
tanding of how to plan for climate change using ITS. The webinar will conc
lude with an online question and answer session.
X-ALT-DESC;FMTTYPE=text/html:  \; Background Within the
national framework for addressing climate change\, Intelligent Transportat
ion Systems (ITS) is an important strategy for meeting the growing need fo
r climate resiliency and adaption. ITS uses a variety of sensors to monito
r the highway network such as traffic volume and speed detectors\, cameras
\, pavement\, and weather monitoring systems. All sensors are designed to
communicate continuously with a centralized Transportation Management Cent
er (TMC). This sensor data\, when integrated with construction and inciden
t reports\, are used by TMC operators to create real time situational awar
eness of the highway system for the benefit of travelers\, public safety\,
emergency responders\, and transportation managers. Climate chang
e has and will result in the increased frequency and severity of disruptin
g weather events. These events require a proactive response across the tra
ffic\, maintenance\, and emergency management communities. New sensor tech
nology and applications are being developed to help identify vulnerable re
gions and transportation routes that are subject to flooding\, fog\, smoke
from wildfires or other harmful pollutants\, high winds\, ice\, damaged p
avement\, and bridge weight restrictions. This approach will not only requ
ire new sensing capability from the field\, but also a means of identifyin
g alternate routes and/or alternate transportation modes. The following sp
eakers will touch on all of these components in order to illustrate new ap
proaches to building transportation resiliency and adapting to climate cha
nge.  \; Target Audience
Anyone interested in climate change\, Intelligent Transportation Syste
ms\, Transportation System Management and Operations (TSM&\;O)\; Bridge
Engineers\, City Planners\, Emergency and Public Safety Managers\, and ac
ademic and research staff. Learning Object
ives - Gain a better understanding of the need for expanded
transportation system monitoring to keep up with severe weather disruption
s associated with climate change.
- Learn the latest technologies
associated with Intelligent Transportation Systems (ITS) that monitor the
transportation network and critical infrastructure.
- Gain a clear
er understanding of how Transportation System Managers and Transportation
Management Centers (TMCs) are using new sensor technology to improve their
operations.
- Gain an understanding of how to plan for climate ch
ange using ITS.
The webinar will conclude with an online q
uestion and answer session.
CATEGORIES:Education
UID:20160505T1833250Z-511394-838@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160516T170000
DTEND;TZID=America/Sao_Paulo:20160516T180000
SUMMARY:Speed MCU-based RTOS Designs using the Renesas Synergy Platform - A
Hands-on Course - Day 1
DESCRIPTION:The Renesas Synergy Platform provides an integrated approach to
designing RTOS-based systems using MCUs. The Synergy Platform seamlessly
integrates the Express Logic Thread-X based RTOS and Express Logic support
ing elements such as GUIX\, USBX\, FILEX and NETX within the Integrated Sy
stem Development Environment (ISDE). This course\, taught by Warren Miller
\, will use a hands-on approach using a Low Cost Synergy Kit\, the free to
download Renesas e2 studio\, and Synergy Software Package (SSP) ISDE. M
ay 16 - Day 1: An Introduction to RTOS Concepts This class will provide a
quick overview of key RTOS concepts including the key concepts behind thre
aded designs\, the techniques for inter-thread communications\, and some o
f the new design ‘thinking’ needed to make the most of the power of RTOS b
ased development.
X-ALT-DESC;FMTTYPE=text/html:The Renesas S
ynergy Platform provides an integrated approach to designing RTOS-based sy
stems using MCUs. The Synergy Platform seamlessly integrates the Express L
ogic Thread-X based RTOS and Express Logic supporting elements such as GUI
X\, USBX\, FILEX and NETX within the Integrated System Development Environ
ment (ISDE). This course\, taught by Warren Miller\, will use a hands-o
n approach using a Low Cost Synergy Kit\, the free to download Renesas e2
studio\, and Synergy Software Package (SSP) ISDE.  \; - May 16 - Day 1: An Introduction to R
TOS Concepts
This class will provide a quick overview o
f key RTOS concepts including the key concepts behind threaded designs\, t
he techniques for inter-thread communications\, and some of the new design
&lsquo\;thinking&rsquo\; needed to make the most of the power of RTOS bas
ed development.
CATEGORIES:Education
UID:20160505T1836330Z-511394-839@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160517T170000
DTEND;TZID=America/Sao_Paulo:20160517T180000
SUMMARY:Speed MCU-based RTOS Designs using the Renesas Synergy Platform - A
Hands-on Course - Day 2
DESCRIPTION:The Renesas Synergy Platform provides an integrated approach to
designing RTOS-based systems using MCUs. The Synergy Platform seamlessly
integrates the Express Logic Thread-X based RTOS and Express Logic support
ing elements such as GUIX\, USBX\, FILEX and NETX within the Integrated Sy
stem Development Environment (ISDE). This course\, taught by Warren Miller
\, will use a hands-on approach using a Low Cost Synergy Kit\, the free to
download Renesas e2 studio\, and Synergy Software Package (SSP) ISDE. M
ay 17 - Day 2: The Renesas Synergy Platform This class provides a detailed
description of the key elements of the Renesas Synergy Platform. It will
focus on the Synergy MCUs and the integration of Express Logic Thread-X RT
OS\, GUIX\, USBX\, FILEX and NETX elements in the Synergy Software Package
.
X-ALT-DESC;FMTTYPE=text/html:The Renesas S
ynergy Platform provides an integrated approach to designing RTOS-based sy
stems using MCUs. The Synergy Platform seamlessly integrates the Express L
ogic Thread-X based RTOS and Express Logic supporting elements such as GUI
X\, USBX\, FILEX and NETX within the Integrated System Development Environ
ment (ISDE). This course\, taught by Warren Miller\, will use a hands-o
n approach using a Low Cost Synergy Kit\, the free to download Renesas e2
studio\, and Synergy Software Package (SSP) ISDE.  \; -
May 17 - Day 2: The Renesas S
ynergy Platform This class provides a detailed descript
ion of the key elements of the Renesas Synergy Platform. It will focus on
the Synergy MCUs and the integration of Express Logic Thread-X RTOS\, GUIX
\, USBX\, FILEX and NETX elements in the Synergy Software Package.<
/div>
CATEGORIES:Education
UID:20160505T1839400Z-511394-840@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160518T170000
DTEND;TZID=America/Sao_Paulo:20160518T180000
SUMMARY:Speed MCU-based RTOS Designs using the Renesas Synergy Platform - A
Hands-on Course - Day 3
DESCRIPTION:The Renesas Synergy Platform provides an integrated approach to
designing RTOS-based systems using MCUs. The Synergy Platform seamlessly
integrates the Express Logic Thread-X based RTOS and Express Logic support
ing elements such as GUIX\, USBX\, FILEX and NETX within the Integrated Sy
stem Development Environment (ISDE). This course\, taught by Warren Miller
\, will use a hands-on approach using a Low Cost Synergy Kit\, the free to
download Renesas e2 studio\, and Synergy Software Package (SSP) ISDE. M
ay 18 - Day 3: Designing with e2 studio and Synergy Software Package (SSP)
This class will demonstrate how easy it is to create RTOS based designs u
sing high level Frameworks within SSP. Frameworks provide a hardware indep
endent development path that ‘fills in’ many of the low level details auto
matically.
X-ALT-DESC;FMTTYPE=text/html: The Renesas S
ynergy Platform provides an integrated approach to designing RTOS-based sy
stems using MCUs. The Synergy Platform seamlessly integrates the Express L
ogic Thread-X based RTOS and Express Logic supporting elements such as GUI
X\, USBX\, FILEX and NETX within the Integrated System Development Environ
ment (ISDE). This course\, taught by Warren Miller\, will use a hands-o
n approach using a Low Cost Synergy Kit\, the free to download Renesas e2
studio\, and Synergy Software Package (SSP) ISDE.  \;
CATEGORIES:Education
UID:20160505T1844070Z-511394-841@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160519T170000
DTEND;TZID=America/Sao_Paulo:20160519T180000
SUMMARY:Speed MCU-based RTOS Designs using the Renesas Synergy Platform - A
Hands-on Course - Day 4
DESCRIPTION:The Renesas Synergy Platform provides an integrated approach to
designing RTOS-based systems using MCUs. The Synergy Platform seamlessly
integrates the Express Logic Thread-X based RTOS and Express Logic support
ing elements such as GUIX\, USBX\, FILEX and NETX within the Integrated Sy
stem Development Environment (ISDE). This course\, taught by Warren Miller
\, will use a hands-on approach using a Low Cost Synergy Kit\, the free to
download Renesas e2 studio\, and Synergy Software Package (SSP) ISDE. M
ay 19 - Day 4: The Target Kit and Resources This class will describe the t
arget board that can be optionally used as a hands-on extension to the cou
rse. The freely downloadable software can also be used to also follow alon
g with the design examples\, but just can’t be executed on actual hardware
.
X-ALT-DESC;FMTTYPE=text/html: The Renesas S
ynergy Platform provides an integrated approach to designing RTOS-based sy
stems using MCUs. The Synergy Platform seamlessly integrates the Express L
ogic Thread-X based RTOS and Express Logic supporting elements such as GUI
X\, USBX\, FILEX and NETX within the Integrated System Development Environ
ment (ISDE). This course\, taught by Warren Miller\, will use a hands-o
n approach using a Low Cost Synergy Kit\, the free to download Renesas e2
studio\, and Synergy Software Package (SSP) ISDE.  \; - May 19 - Day 4: The Target Kit and R
esources
This class will describe the target board that
can be optionally used as a hands-on extension to the course. The freely
downloadable software can also be used to also follow along with the desig
n examples\, but just can&rsquo\;t be executed on actual hardware.<
/li>
CATEGORIES:Education
UID:20160505T1843170Z-511394-842@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160520T170000
DTEND;TZID=America/Sao_Paulo:20160520T180000
SUMMARY:Speed MCU-based RTOS Designs using the Renesas Synergy Platform - A
Hands-on Course - Day 5
DESCRIPTION:The Renesas Synergy Platform provides an integrated approach to
designing RTOS-based systems using MCUs. The Synergy Platform seamlessly
integrates the Express Logic Thread-X based RTOS and Express Logic support
ing elements such as GUIX\, USBX\, FILEX and NETX within the Integrated Sy
stem Development Environment (ISDE). This course\, taught by Warren Miller
\, will use a hands-on approach using a Low Cost Synergy Kit\, the free to
download Renesas e2 studio\, and Synergy Software Package (SSP) ISDE. M
ay 20 - Day 5: Example Designs in Detail This class will select example de
signs from those suggested by students to solidify key techniques covered
in previous classes. Students with actually hardware will be able to downl
oad the designs to the actual board while students with the software will
be able to explore the code generated automatically by the software tools.
X-ALT-DESC;FMTTYPE=text/html: The Renesas S
ynergy Platform provides an integrated approach to designing RTOS-based sy
stems using MCUs. The Synergy Platform seamlessly integrates the Express L
ogic Thread-X based RTOS and Express Logic supporting elements such as GUI
X\, USBX\, FILEX and NETX within the Integrated System Development Environ
ment (ISDE). This course\, taught by Warren Miller\, will use a hands-o
n approach using a Low Cost Synergy Kit\, the free to download Renesas e2
studio\, and Synergy Software Package (SSP) ISDE.  \; - May 20 - Day 5: Example Designs in D
etail
This class will select example designs from those
suggested by students to solidify key techniques covered in previous clas
ses. Students with actually hardware will be able to download the designs
to the actual board while students with the software will be able to explo
re the code generated automatically by the software tools.
ul>
CATEGORIES:Education
UID:20160505T1844580Z-511394-843@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160621T170000
DTEND;TZID=America/Sao_Paulo:20160621T180000
SUMMARY:Beating Back the Heat: Solving Thermal Management Challenges in Mil
itary Electronics
DESCRIPTION: Sponsored by: REGISTER NOW Modern avionics\, radar\, sonar\,
and electronic warfare systems depend heavily on the performance advantage
s of commercial processors from companies such as Intel\, AMD\, Freescale\
, and others. Yet\, they also generate tremendous amounts of heat while de
livering that capability\, creating thermal management hurdles for embedde
d computing designers to overcome – especially when attempting remove heat
from small form factor designs. This E-cast of industry experts will disc
uss innovative ways to remove heat from embedded computing systems to keep
systems cool in a variety of form factors. Sponsors: AMD\, Wakefield-Vett
e Moderator: John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; |
| Sponsored by: <
tbody> REGISTER NOW | Modern avionics\, r
adar\, sonar\, and electronic warfare systems depend heavily on the perfor
mance advantages of commercial processors from companies such as Intel\, A
MD\, Freescale\, and others. Yet\, they also generate tremendous amounts o
f heat while delivering that capability\, creating thermal management hurd
les for embedded computing designers to overcome &ndash\; especially when
attempting remove heat from small form factor designs. This E-cast of indu
stry experts will discuss innovative ways to remove heat from embedded com
puting systems to keep systems cool in a variety of form factors. | Sponsors: AMD
\, Wakefield-Vette Moderator: \; John Mc
Hale\, OpenSystems Media | | <
/tr>
CATEGORIES:Education
UID:20160505T1848100Z-511394-844@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160518T160000
DTEND;TZID=America/Sao_Paulo:20160518T170000
SUMMARY:PAM-4 Designs – Advanced Characterization and Debug Solutions
DESCRIPTION:Why this webcast is important Emerging standards such as 400 Gi
gabit Ethernet (IEEE P802.3bs) and OIF-CEI 4.0 (56G) are adopting pulse am
plitude modulation 4-level (PAM-4) signaling to address the need for highe
r throughput in next-generation designs. Shifting from NRZ to amplitude mo
dulated signaling such as PAM-4 introduces new challenges in the design\,
as well as characterization\, of high-speed digital devices. This webcast
will discuss the latest PAM-4 related developments in the industry\, and p
rovide an update on new test and measurement tools that offer powerful cha
racterization and debug capabilities. Who should attend Advanced developme
nt researchers\, design engineers\, signal integrity engineers\, validatio
n engineers\, test engineers\, architects\, project managers\, program man
agers\, application managers\, application engineers Register now for this
live webcast
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is
important Emerging standards such as 400 Gigabit Ethernet (
IEEE P802.3bs) and OIF-CEI 4.0 (56G) are adopting pulse amplitude modulati
on 4-level (PAM-4) signaling to address the need for higher throughput in
next-generation designs. Shifting from NRZ to amplitude modulated signalin
g such as PAM-4 introduces new challenges in the design\, as well as chara
cterization\, of high-speed digital devices. This webcast wi
ll discuss the latest PAM-4 related developments in the industry\, and pro
vide an update on new test and measurement tools that offer powerful chara
cterization and debug capabilities. Who sho
uld attend Advanced development researchers\, design engine
ers\, signal integrity engineers\, validation engineers\, test engineers\,
architects\, project managers\, program managers\, application managers\,
application engineers
CATEGORIES:Education
UID:20160505T1850280Z-511394-845@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160602T170000
DTEND;TZID=America/Sao_Paulo:20160602T180000
SUMMARY:Operating Systems for Operating Rooms: You Get What You Pay For
DESCRIPTION: Sponsored by: REGISTER NOW When choosing between an open sour
ce and commercial OS\, what costs are you considering? Although a 'free' o
pen source OS may seem attractive at first\, further examination reveals h
idden costs\, associated with development\, maintenance\, certification\,
and software team size and dynamic. Join QNX for this webinar to examine h
ow your product can be launched into the market faster\, cheaper\, with ea
sier pre-market approval\, and lower total costs of ownership that make a
commercial OS the best choice. Key takeaways from the webinar: The implica
tion for medical device manufacturers of device performance and reliabilit
y guarantees The challenges of certifying a medical device that is running
an open source OS How commercial OSes simplify medical device maintenance
The costs of maintaining an open source operating system are staggering\,
and greatly contribute to the total cost of ownership and far outweigh co
sts of commercial OSes Speaker: Steven Dean\, Global Healthcare Segment
Manager\, QNX Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; |
|
Sponsored by:
<
a href='http://email.opensystemsmedia.com/wYzNF8yI500004ir00y2102' target=
'_blank'>REGISTER NOW | | <
tr id='copy'> When choosing between an open source a
nd commercial OS\, what costs are you considering? Although a 'fre
e' open source OS may seem attractive at first\, further examination revea
ls hidden costs\, associated with development\, maintenance\, certificatio
n\, and software team size and dynamic. Join QNX for this webinar
to examine how your product can be launched into the market faster\, cheap
er\, with easier pre-market approval\, and lower total costs of ownership
that make a commercial OS the best choice. Key takeaways from the
webinar: - The implication for medical device manufacturers o
f device performance and reliability guarantees
- The challenges o
f certifying a medical device that is running an open source OS
-
How commercial OSes simplify medical device maintenance
- The cost
s of maintaining an open source operating system are staggering\, and grea
tly contribute to the total cost of ownership and far outweigh costs of co
mmercial OSes
 \; | Speaker: Steven Dean\, Global Healthcare
Segment Manager\, QNX Moderator: \; Bran
don Lewis\, OpenSystems Media | <
table border='0' cellspacing='0' cellpadding='0' align='center'> |
REGISTER NOW | |
CATEGORIES:Education
UID:20160505T1851500Z-511394-846@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160511T170000
DTEND;TZID=America/Sao_Paulo:20160511T180000
SUMMARY:Component Design with a Twist
DESCRIPTION:Helixes in nature abound—from the formation of DNA\, to the spi
ral of a seashell\, to the tendril of a climbing vine. The helical structu
re provides support for the curve through three-dimensional space. Fort Wa
yne Metals took a cue from nature to create its stranded torque tube: HHS®
Tube\, or Helical Hollow Strand\, which is best described as a strand wit
h an open center working channel. This HHS® construction is often utilized
to transmit torque or pushing forces in several applications\, including:
• Atherectomy Devices • Catheter Bodies • Device
Working Channels • Endoscope Shafts • Orthopedic Drills
• Laparoscopic Instruments In this Webinar\, Fort Wayne Metals' Desi
gn Engineer Brett Conrad and Product Manager Nate Davidhizar will explain
how to improve your designs using the advantages conferred by HHS Tube's o
pen center working channel\, its ability to transmit torque across tortuou
s paths\, and its excellent pushability. For more information and to regis
ter\, click here.
X-ALT-DESC;FMTTYPE=text/html:Helixes in nature abound&mdash\;from the fo
rmation of DNA\, to the spiral of a seashell\, to the tendril of a climbin
g vine. The helical structure provides support for the curve through three
-dimensional space.
Fort Wayne Metals took a cue from nature
to create its stranded torque tube: HHS®\; Tube\, or Helical
Hollow Strand\, which is best described as a strand with an open center w
orking channel. This HHS®\; construction is often utilized t
o transmit torque or pushing forces in several applications\, including: <
/p>  \; |
 \; |  \; |  \; | &
bull\; | Atherectomy Devices |
 \; |  \; |  \; |  \; | &bull\; | Catheter Bodies |  \; |  \; |  \; |  \; | &bull\; | Device Wo
rking Channels |  \; |  \; |  \; |  \; | &bull\; | Endoscope Shafts |
 \; |  \; |  \; |  \; | &bull\; | Orthopedic Drills |  \; |  \; |  \; |
tr>  \; | &bull\; | Laparosc
opic Instruments | In this Webina
r\, Fort Wayne Metals' Design Engineer Brett Conrad and Product Manager Na
te Davidhizar will explain how to improve your designs using the advantage
s conferred by HHS Tube's open center working channel\, its ability to tra
nsmit torque across tortuous paths\, and its excellent pushability.
For more information and to register\, click here. \;
CATEGORIES:Education
UID:20160505T1853190Z-511394-847@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160512T160000
DTEND;TZID=America/Sao_Paulo:20160512T170000
SUMMARY:Keys to Safety Critical Embedded Software Development Success
DESCRIPTION: Webinar: Keys to Safety Critical Embedded Software Developm
ent Success The Internet of Things and the abundance of smart applications
have significantly increased the need for the safety critical embedded so
ftware that controls these devices. You’ve probably heard some of the foll
owing stats: Nearly 400\,000 software and system engineers work in the oil
and gas industry In the energy and nuclear sectors\, software-based instr
umentation and controls have become state of the art The aerospace industr
y has witnessed a 500 percent increase in source lines of code over the pa
st decade There are 10 million software lines of code in modern vehicles T
here is a new tool that can help you to automate your embedded software ve
rification and validation process\, along with all testing activities. Thi
s new tool\, ANSYS SCADE Test\, is specifically designed to follow your te
sting processes and procedures. We hope you can join one of the webinars:
May 12 - 9 AM EDT\, 1 PM GMT May 12 - 1 PM EDT\, 5 PM GMT
X-ALT-DESC;FMTTYPE=text/html: |  \; |
 \; | Webinar: Keys to Safety Critical Em
bedded Software Development Success The Internet of Things and the abundance
of smart applications have significantly increased the need for the safet
y critical embedded software that controls these devices. You&rsquo\;ve pr
obably heard some of the following stats: - Nearly 400\,000 s
oftware and system engineers work in the oil and gas industry
- In
the energy and nuclear sectors\, software-based instrumentation and contr
ols have become state of the art
- The aerospace industry has witn
essed a 500 percent increase in source lines of code over the past decade<
/li>
- There are 10 million software lines of code in modern vehicles
li>
There is a new tool that can help you to automate your embe
dded software verification and validation process\, along with all testing
activities. This new tool\, ANSYS SCADE Test\, is specifically designed t
o follow your testing processes and procedures. We hope you c
an join one of the webinars: May 12 - 9 AM EDT\, 1 PM GMT<
/a> May 12 - 1 PM EDT\, 5 PM GMT |
tbody> | |
|
CATEGORIES:Education
UID:20160505T1855200Z-511394-848@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160511T160000
DTEND;TZID=America/Sao_Paulo:20160511T170000
SUMMARY:Testing Wireless Power Transfer Designs
DESCRIPTION:Why this webcast is important: Charging battery-operated device
s from something as small as a smart watch to as large as an electric-powe
red bus have traditionally been accomplished with charging cables and AC/D
C power adapters of various sizes. However\, battery-operated devices are
quickly becoming untethered today with the rapid adoption of wireless char
ging technology. There are two major wireless charging technologies based
on either magnetic induction or magnetic resonance. Products based on eith
er or both of these technologies must meet stringent standards. During thi
s webcast you will first briefly learn about the key differences between t
hese two wireless charging technologies. But the focus of this webcast wil
l be on how to test your products with the latest tools including oscillos
copes and network analyzers to help ensure that your wireless charging des
igns not only meet minimum compliance and interoperability standards\, but
also achieve maximum power transfer performance and efficiency. Who shoul
d view this webcast: Design and test engineers of wireless charging design
s. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is
important: Charging battery-operated devices from something
as small as a smart watch to as large as an electric-powered bus have tra
ditionally been accomplished with charging cables and AC/DC power adapters
of various sizes. However\, battery-operated devices are quickly becoming
untethered today with the rapid adoption of wireless charging technology.
There are two major wireless charging technologies based on either magnet
ic induction or magnetic resonance. Products based on either or both of th
ese technologies must meet stringent standards. During this webcast you will first briefly learn about the key differe
nces between these two wireless charging technologies. But the focus of th
is webcast will be on how to test your products with the latest tools incl
uding oscilloscopes and network analyzers to help ensure that your wireles
s charging designs not only meet minimum compliance and interoperability s
tandards\, but also achieve maximum power transfer performance and efficie
ncy. Who should view this webcast: De
sign and test engineers of wireless charging designs.
CATEGORIES:Education
UID:20160505T1857060Z-511394-849@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160512T170000
DTEND;TZID=America/Sao_Paulo:20160512T180000
SUMMARY:Motor Control for Medical Applications
DESCRIPTION:With various motor types available which offer the best perform
ance and control solutions for your medical application? This webinar with
review different motor types and how they are controlled electronically u
sing different algorithms and semiconductors from Infineon Technologies. M
edical applications such as sleep apnea respirators and fluid processing a
nd motorized carts and beds will be reviewed to highlight which approaches
fit best. Attend this webinar to learn about: Different types of motor
control approaches for specific requirements Understanding of products fro
m Infineon Technologies targeting this application space When and where di
fferent sensors might aid in product development and functionality Who sho
uld attend? Motor Control designers for medical equipment\, beds\, pumps a
nd other equipment. Design Engineers\, Marketing Engineers\, Procurement
REGISTER
X-ALT-DESC;FMTTYPE=text/html:With various motor types available which of
fer the best performance and control solutions for your medical applicatio
n? This webinar with review different motor types and how they are control
led electronically using different algorithms and semiconductors from Infi
neon Technologies. Medical applications such as sleep apnea respirators an
d fluid processing and motorized carts and beds will be reviewed to highli
ght which approaches fit best.  \; Attend this
webinar to learn about: - Different types of motor
control approaches for specific requirements
- Understanding of pr
oducts from Infineon Technologies targeting this application space
<
li>When and where different sensors might aid in product development and f
unctionality Who should attend? Mot
or Control designers for medical equipment\, beds\, pumps and other equipm
ent. Design Engineers\, Marketing Engineers\, Procurement  \;<
/p> REGISTER
CATEGORIES:Education
UID:20160505T1900180Z-511394-850@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160616T140000
DTEND;TZID=America/Sao_Paulo:20160616T150000
SUMMARY:Power management solutions for modern appliances
DESCRIPTION:White goods comprise a broad selection of essential everyday ap
pliances – from fans and pumps\, to fridge compressors\, washers and more.
Here\, today’s consumers seek quiet\, highly reliable and highly efficien
t systems with the right feature set that distinguish them from the masses
. To help designers overcome these challenges\, Infineon offers a comprehe
nsive portfolio of discrete IGBTs and IPMs. Outstanding efficiency perform
ance\, high reliable and dedicated products for specific applications allo
w you to design a compact\, highly integrated and more powerful appliance
at your target cost. With Infineon\, you have the added benefit of seamles
s functionality among all our products\, from IGBTs and Drivers to IPMs to
controllers and more. Attendees will learn The widest product portfolio i
n the market with seamless functionality among all products Dedicated semi
conductor solutions for each application Innovative components to meet and
exceed even the most rigorous requirements for reliability\, quality and
energy efficiency Technical support from highly experienced engineers to h
elp optimize your system design and cost and to reduce time-to-market Who
should attend? Application Engineers\, Test Engineers\, Product Marketing
\, Technical Marketing\, Sales Organization REGISTER
X-ALT-DESC;FMTTYPE=text/html: W
hite goods comprise a broad selection of essential everyday appliances &nd
ash\; from fans and pumps\, to fridge compressors\, washers and more. Here\, today&rsquo\;s consumers seek quiet\, highly reliable and highly
efficient systems with the right feature set that distinguish them from th
e masses. To help designers overcome these challenges\, Infineon offe
rs a comprehensive portfolio of discrete IGBTs and IPMs. Outstanding
efficiency performance\, high reliable and dedicated products for specifi
c applications allow you to design a compact\, highly integrated and more
powerful appliance at your target cost. With Infineon\, you have the
added benefit of seamless functionality among all our products\, from IGB
Ts and Drivers to IPMs to controllers and more. Attendees
will learn - The widest product portfolio in the mar
ket with seamless functionality among all products
- Dedicated sem
iconductor solutions for each application
- Innovative components
to meet and exceed even the most rigorous requirements for reliability\, q
uality and energy efficiency
- Technical support from highly exper
ienced engineers to help optimize your system design and cost and to reduc
e time-to-market
Who should attend? \
; Application Engineers\, Test Engineers\, Product Marketing\, Techn
ical Marketing\, Sales Organization  \; REGISTER
strong>
CATEGORIES:Education
UID:20160505T1903200Z-511394-851@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160523T170000
DTEND;TZID=America/Sao_Paulo:20160523T180000
SUMMARY:IoT 2.0 – Sensor Innovation Moves From “Smart” to “Intelligent”
DESCRIPTION:
X-ALT-DESC;FMTTYPE=text/html:
CATEGORIES:Education
UID:20160506T1247290Z-511394-852@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160517T170000
DTEND;TZID=America/Sao_Paulo:20160517T180000
SUMMARY:Simplifying Convergence of the Industrial Network: Bridging IT and
Operations
DESCRIPTION:Simplifying Convergence of the Industrial Network: Bridging IT
and Operations Tuesday\, May 17\, 2016 at 11 a.m. PT/1 p.m. CT/2 p.m. ET A
ttendees qualify for a Certificate of Completion Click here to register no
w. As traditional Ethernet technologies have begun to scale broadly across
application using automation and controls\, companies are beginning to un
derstand the need to take a fresh look at home-grown industrial Ethernet n
etworks. As Ethernet moves layers down into the edge of the network\, it h
as been embedded deeply into machine and process functions\, becoming a cr
itical asset in the automation system. This opens up a new wave of innovat
ive possibilities for plants to drive improved operations\, while also req
uiring that the network is built in a robust manner and with the tools for
proactive maintenance. To enable plant managers and manufacturing IT team
s to effectively meet this challenge\, building blocks\, tools\, and exper
tise are available to simplify the deployment and maintenance of a robust
industrial Ethernet network. In particular\, vendor-agnostic software\, su
ch as Panduit IntraVUETM\, enables IT and operations to work collaborative
ly to support the network infrastructure across the plant floor. By provid
ing real-time visibility\, documentation and analytics for complex automat
ion system deployments\, it's easier and more cost-effective to design\, i
nstall\, and maintain an effective\, future-ready plant network. Learning
Objectives: Success with IoT requires IT and Operations to work collaborat
ively across boundaries. Tools such as IntraVUE are very helpful to both e
nable and promote this collaboration. Creating and Maintaining Documentati
on of networked automation systems are notoriously difficult\, but very im
portant to managing and expanding the plant. IntraVUE can substantially im
prove documentation of current state on the plant floor. Many plants are r
unning blind to the health of their networked automation system. IntraVUE
monitors the whole Ethernet networked automation system and performs analy
tics\, providing a health check on the overall system while helping drive
to root cause identification of common problems.
X-ALT-DESC;FMTTYPE=text/html: Simplifying Convergence
of the Industrial Network: Bridging IT and Operations Tuesday\, May 17\, 2016 at 11 a.m. PT/1 p.m. CT/2 p.m. E
T Attendees qualify for a Certificate of Completion Click here to register now.
As traditional Ethernet technologies have begun to scale broadly
across application using automation and controls\, companies are beginning
to understand the need to take a fresh look at home-grown industrial Ethe
rnet networks. As Ethernet moves layers down into the edge of the network\
, it has been embedded deeply into machine and process functions\, becomin
g a critical asset in the automation system. This opens up a new wave of i
nnovative possibilities for plants to drive improved operations\, while al
so requiring that the network is built in a robust manner and with the too
ls for proactive maintenance. To enable plant managers and manufac
turing IT teams to effectively meet this challenge\, building blocks\, too
ls\, and expertise are available to simplify the deployment and maintenanc
e of a robust industrial Ethernet network. In particular\, vendor-agnostic
software\, such as Panduit IntraVUETM\, enables IT and operations to work
collaboratively to support the network infrastructure across the plant fl
oor. By providing real-time visibility\, documentation and analytics for c
omplex automation system deployments\, it's easier and more cost-effective
to design\, install\, and maintain an effective\, future-ready plant netw
ork. Learning Objectives: - Success
with IoT requires IT and Operations to work collaboratively across boundar
ies. Tools such as IntraVUE are very helpful to both enable and promote th
is collaboration.
- Creating and Maintaining Documentation of netw
orked automation systems are notoriously difficult\, but very important to
managing and expanding the plant. IntraVUE can substantially improve docu
mentation of current state on the plant floor.
- Many plants are r
unning blind to the health of their networked automation system. IntraVUE
monitors the whole Ethernet networked automation system and performs analy
tics\, providing a health check on the overall system while helping drive
to root cause identification of common problems.
CATEGORIES:Education
UID:20160506T1251030Z-511394-853@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160622T140000
DTEND;TZID=America/Sao_Paulo:20160622T150000
SUMMARY:Design a Cloud Connected IoT Gateway with Security Protection
DESCRIPTION: Connectivity can add great value to many embedded application
s. An IoT Gateway is one of the easier ways to connect devices to the clou
d without bearing the cost of a full Ethernet or Wi-Fi® interface with an
accompanying protocol stack. However\, there is always a possibility that
the device could be hacked when an electronic device is connected to the c
loud\, which could result in serious consequences like data and identity t
heft. Hence\, a security-focused approach should be taken when building an
IoT Gateway to protect users’ privacy and block any malicious activity. D
esigning an IoT Gateway with security protection can be a very challenging
task. This webinar is designed to jump start the development of a cloud c
onnected IoT Gateway that secures the data exchanged between the Gateway a
nd the cloud. This webinar will also address the development of different
low cost wireless nodes that communicate with the cloud through the Gatewa
y. Speakers: Prathap Srinivasan\, Software Systems Engineer\, Texas Instru
ments Incorporated India Olivier Monnier\, Wireless Connectivity and IoT S
olutions Marketing Director\, Texas Instruments Incorporated Moderator: R
ich Nass\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | |
Connectivity can add great value to many embedd
ed applications. An IoT Gateway is one of the easier ways to connect devic
es to the cloud without bearing the cost of a full Ethernet or Wi-Fi®\;
interface with an accompanying protocol stack. However\, there is always
a possibility that the device could be hacked when an electronic device is
connected to the cloud\, which could result in serious consequences like
data and identity theft. Hence\, a security-focused approach should be tak
en when building an IoT Gateway to protect users&rsquo\; privacy and block
any malicious activity. Designing an IoT Gateway with security pr
otection can be a very challenging task. This webinar is designed to jump
start the development of a cloud connected IoT Gateway that secures the da
ta exchanged between the Gateway and the cloud. This webinar will also add
ress the development of different low cost wireless nodes that communicate
with the cloud through the Gateway. | Speakers:<
br /> Prathap Srinivasan\, Software Systems Engineer\, Texas Instruments I
ncorporated India Olivier Monnier\, Wireless Connectivity and IoT So
lutions Marketing Director\, Texas Instruments Incorporated Moderator: \; Rich Nass
\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20160506T1253090Z-511394-854@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160518T170000
DTEND;TZID=America/Sao_Paulo:20160518T180000
SUMMARY:Using current-limiting devices to improve Short-Circuit Current Rat
ing (SCCR)
DESCRIPTION:Using current-limiting devices to improve Short-Circuit Current
Rating (SCCR) Wednesday\, May 18\, 2016 at 11 a.m. PT/1 p.m. CT/2 p.m. ET
Attendees qualify for a Certificate of Completion #StrugglingwithSCCR Cli
ck here to register now. Current-limiting devices can have a significant i
mpact on the Short-Circuit Current Rating (SCCR) of an industrial control
panel. However\, many struggle to understand how to properly apply current
-limiting devices to raise SCCR. This webcast will simplify the process of
applying a current-limiting device to raise SCCR\, explain the limits of
use\, and demonstrate how current-limiting devices can help solve SCCR cha
llenges\, as well as increase design and manufacturing flexibility with mi
nimal to no impact on cost. After viewing this webcast\, participants will
: Learn how current-limiting devices are used to improve SCCR Understand w
hen current-limiting devices cannot raise SCCR View real examples where cu
rrent-limiting devices improved SCCR Understand how panels can be designed
with alternate component options to increase the range of SCCR applicabil
ity.
X-ALT-DESC;FMTTYPE=text/html: Using current-l
imiting devices to improve Short-Circuit Current Rating (SCCR) Wednesday\, May 18\, 2016 at 11 a.m. PT
/1 p.m. CT/2 p.m. ET Attendees qualify for a Certificate of Completi
on #StrugglingwithSCCR Click here to register now. Current-limiting devices can have a significant impact on the Short-
Circuit Current Rating (SCCR) of an industrial control panel. However\, ma
ny struggle to understand how to properly apply current-limiting devices t
o raise SCCR. This webcast will simplify the process of applying a current
-limiting device to raise SCCR\, explain the limits of use\, and demonstra
te how current-limiting devices can help solve SCCR challenges\, as well a
s increase design and manufacturing flexibility with minimal to no impact
on cost. After viewing this webcast\, participants will: <
/strong> - Learn how current-limiting devices are used to imp
rove SCCR
- Understand when current-limiting devices cannot raise
SCCR
- View real examples where current-limiting devices improved
SCCR
- Understand how panels can be designed with alternate compon
ent options to increase the range of SCCR applicability.
CATEGORIES:Education
UID:20160506T1526180Z-511394-855@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160511T170000
DTEND;TZID=America/Sao_Paulo:20160511T180000
SUMMARY:Consumer Electronics: Designing with Flexibility for Reliability
DESCRIPTION: From small wearable devices to large display screens\, consum
er electronics is one of the largest segments in the manufacturing industr
y. The vast amount of information\, communication and entertainment is bri
nging a new age of consumer electronics into the world. With ever-evolving
technology and demands to meet the needs of tech-savvy consumers\, engine
ers are challenged to design lighter\, thinner\, and more durable electron
ics. Today\, innovative material solutions can offer greater design flex
ibility and help gain efficiencies in manufacturing processes. Learn how a
new silicone technology is helping many of the world’s leading innovators
in the electronics industry make their next generation products more dura
ble and reliable. Attend this webinar to learn: Needs of a consumer el
ectronics design engineer Flexibility in electronics designing Product des
ign examples Repairability and re-workability options in consumer electron
ics REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; From small wearable devices to
large display screens\, consumer electronics is one of the largest segment
s in the manufacturing industry. The vast amount of information\, communic
ation and entertainment is bringing a new age of consumer electronics into
the world. With ever-evolving technology and demands to meet the needs of
tech-savvy consumers\, engineers are challenged to design lighter\, thinn
er\, and more durable electronics.  \; Today\, innovative
material solutions can offer greater design flexibility and help gain effi
ciencies in manufacturing processes. Learn how a new silicone technology i
s helping many of the world&rsquo\;s leading innovators in the electronics
industry make their next generation products more durable and reliable.
p>  \; Attend this webinar to learn:  \;  \; REGISTER
CATEGORIES:Education
UID:20160509T1856070Z-511394-856@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160525T140000
DTEND;TZID=America/Sao_Paulo:20160525T150000
SUMMARY:Optimizing Lenses\, Lighting\, and Optics in your Vision Applicatio
n
DESCRIPTION:A free webcast on May 25 will discuss how to select the right c
omponents\, including lenses\, optics\, and lighting\, for your applicatio
n. To pre-submit a question for the Q&A portion of the webcast\, click her
e. What You'll Learn: The basics of optics\, lighting\, and lenses H
ow to choose the correct lenses\, optics\, and lighting for your vision ap
plication How telecentric lenses and lighting can be used Register Today
! Who Should Attend: Designers and developers of machine vision systems
Those wishing to learn more about optics\, lighting\, and lenses
X-ALT-DESC;FMTTYPE=text/html:A free webcast on May 25 will discuss how to select the rig
ht components\, including lenses\, optics\, and lighting\, for your applic
ation.
To pre-submit a question for the Q&\;A portion of th
e webcast\, click here.  \;  \; What You'
ll Learn:  \;
- The basics of
optics\, lighting\, and lenses
- How to choose the correct lenses\, optics\,
and lighting for your vision application
- How telecentric lenses and lighting
can be used
 \; Register Today!  \;  \;Who Should Attend:
<
ul> - Designers
and developers of machine vision systems
- Those wishing to learn more about o
ptics\, lighting\, and lenses
 \;  
\;
CATEGORIES:Education
UID:20160513T1256230Z-511394-857@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160617T110000
DTEND;TZID=America/Sao_Paulo:20160617T120000
SUMMARY:M2.COM Open Standard for Innovative IoT Sensor Platform
DESCRIPTION:According to Gartner Research\, the demand for connected device
s will surge to one trillion by 2040. Research further indicated that ther
e will be US $166 billion invested in the IoT industry by 2020. The tremen
dous opportunities afforded by the IoT and driven by the rapid development
in sensor technologies like micro computing systems\, and wireless commun
ication standards\, means that sensor device platforms will become a key e
lement in enabling the connected world. However\, right now there are no o
pen standards for sensor devices and all the devices makers design their o
wn products with their own form factors\, which does not encourage the wid
espread deployment of IoT. Advantech\, along with ARM\, Bosch Sensortec\,
Sensirion and Texas Instruments (TI)\, started a collaboration of a new In
ternet of Things (IoT) sensor platform called M2.COM. The IoT era brings n
ew opportunities to traditional industries and drives business evolution f
or the next-generation of products and services. To enable a diverse range
of IoT applications\, and to standardize different platforms and technolo
gies\, an open platform for IoT sensors and sensor nodes was established b
y sensor makers and module makers for more efficient IoT development. The
Low Power Wi-Fi IoT Node WISE-1520 is the first module supporting M2.COM.
Speaker: Bernd Hacker\, Europe Director Business Development and Marketing
\, Advantech Europe B.V. Moderator: Curt Schwaderer\, OpenSystems Media R
EGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: According to Gartner Researc
h\, the demand for connected devices will surge to one trillion by 2040. R
esearch further indicated that there will be US $166 billion invested in t
he IoT industry by 2020. The tremendous opportunities afforded by the IoT
and driven by the rapid development in sensor technologies like micro comp
uting systems\, and wireless communication standards\, means that sensor d
evice platforms will become a key element in enabling the connected world.
However\, right now there are no open standards for sensor devices and al
l the devices makers design their own products with their own form factors
\, which does not encourage the widespread deployment of IoT. Adva
ntech\, along with ARM\, Bosch Sensortec\, Sensirion and Texas Instruments
(TI)\, started a collaboration of a new Internet of Things (IoT) sensor p
latform called M2.COM. The IoT era brings new opportunities to traditional
industries and drives business evolution for the next-generation of produ
cts and services. To enable a diverse range of IoT applications\, and to s
tandardize different platforms and technologies\, an open platform for IoT
sensors and sensor nodes was established by sensor makers and module make
rs for more efficient IoT development. The Low Power Wi-Fi IoT Node WISE-1
520 is the first module supporting M2.COM. | Speaker: Bernd Hacker\, Europe Director Business Development and Marketi
ng\, Advantech Europe B.V. Modera
tor: \; Curt Schwaderer\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20160513T1302210Z-511394-858@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160519T150000
DTEND;TZID=America/Sao_Paulo:20160519T160000
SUMMARY:Fundamentals of Operational Amplifiers
DESCRIPTION:Overview: The operational amplifier (op amp) is one of the most
common linear design building blocks. In this webcast\, we’ll discuss the
op amp structure\, cover basic specifications\, review some techniques to
compensate for op amp limitations and how to select an op amp for a given
application. Who Should Attend: Students and engineers new to the field\,
as well as those more experienced engineers looking for a refresher on th
is or any other part of the signal chain\, design\, and layout.
X-ALT-DESC;FMTTYPE=text/html: <
div>Overview: The o
perational amplifier (op amp) is one of the most common linear design buil
ding blocks. In this webcast\, we&rsquo\;ll discuss the op amp structure\,
cover basic specifications\, review some techniques to compensate for op
amp limitations and how to select an op amp for a given application.
Who Should Attend: Students and engineers new to the field\,
as well as those more experienced engineers looking for a refresher on th
is or any other part of the signal chain\, design\, and layout. | |  \; |
&n
bsp\; |  \; |  \; |  \; |  \; | |  \; | &nbs
p\;
CATEGORIES:Education
UID:20160513T1305210Z-511394-859@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160614T153000
DTEND;TZID=America/Sao_Paulo:20160614T163000
SUMMARY:Mass-market Lidar: from ADAS to autonomous driving
DESCRIPTION:we are pleased to present to you this free webinar\, which will
give you insight into: Key trends in Lidar sensing technology for automot
ive applications Review the fundamentals of optical detection and ranging
Unique differentiators of Leddar\, a next-gen Lidar technology Descriptio
n of the webinar If you are a car maker\, a tier-1 supplier or a system in
tegrator\, you’re well aware of the importance of selecting the right sens
or technologies for ADAS applications and for the evolution towards autono
mous driving. While Lidars are foreseen as a key enabler\, multiple challe
nges come in the way of their successful mass market deployment\, includin
g size\, costs\, performance\, reliability\, and ease of integration to ca
r components and systems. Join us as we will highlight the key trends in L
idar sensing technology for automotive applications\, review the fundament
als of optical detection and ranging and explain the unique differentiator
s of Leddar\, a next-gen Lidar technology delivering unmatched cost/perfor
mance ratio for automotive applications. This session will showcase innova
tive Lidar solutions that are currently being packaged into ICs to produce
a new generation of highly optimized Lidars (be it fixed beam\, solid sta
te\, MEMs-based\, or scanning) that meet the stringent automotive requirem
ents. Examples of how Leddar-based sensors can be integrated into standard
automotive components as well as results from road trials will also be pr
esented. Watch the Webinar here
X-ALT-DESC;FMTTYPE=text/html: we are pleased to pr
esent to you this free webinar\, which will give you insight into:<
/p> - Key trends in Lidar sensing
technology for automotive applications
- Review the fundamentals of optical
detection and ranging
- Uni
que differentiators of Leddar\, a next-gen Lidar technology \;
Description of the webinar <
br /> If you are a car maker\, a tier-1 supplier or a system integrator\,
you&rsquo\;re well aware of the importance of selecting the right sensor t
echnologies for ADAS applications and for the evolution towards autonomous
driving. While Lidars are foreseen as a key enabler\, multiple challenges
come in the way of their successful mass market deployment\, including si
ze\, costs\, performance\, reliability\, and ease of integration to car co
mponents and systems. Join us as we will hi
ghlight the key trends in Lidar sensing technology for automotive applicat
ions\, review the fundamentals of optical detection and ranging and explai
n the unique differentiators of Leddar\, a next-gen Lidar technology deliv
ering unmatched cost/performance ratio for automotive applications.
This session will showcase innovative Lidar solutions that are curr
ently being packaged into ICs to produce a new generation of highly optimi
zed Lidars (be it fixed beam\, solid state\, MEMs-based\, or scanning) tha
t meet the stringent automotive requirements. Examples of how Leddar-based
sensors can be integrated into standard automotive components as well as
results from road trials will also be presented.  \; Watch the Webinar here \;
CATEGORIES:Education
UID:20160513T1308550Z-511394-860@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160606T150000
DTEND;TZID=America/Sao_Paulo:20160606T160000
SUMMARY:The Lua Programming Language for IoT - Hands On - Day 1
DESCRIPTION: June 6 - Day 1: Introduction to Lua and the ESP8266 In this l
eadoff class\, we will look briefly at the ESP8266 chip and the various No
de MCU boards that are available with the chip. We will then discuss the h
istory of the Lua language and how it is used for programming various appl
ications
X-ALT-DESC;FMTTYPE=text/html:  \; - June 6 - Day 1: Introduction to Lua and the ESP8266
In this leadoff class\, we will look briefly at the ESP8266 chip a
nd the various Node MCU boards that are available with the chip. We will t
hen discuss the history of the Lua language and how it is used for program
ming various applications
CATEGORIES:Education
UID:20160513T1847150Z-511394-861@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160607T150000
DTEND;TZID=America/Sao_Paulo:20160607T160000
SUMMARY:The Lua Programming Language for IoT - Hands On - Day 2
DESCRIPTION: June 7 - Day 2: Lua in Depth\, Part 1 For our second class\,
we will begin to look at the Lua language in depth. We will look at all of
the basic constructs\, including variables and program flow\, and show so
me examples of each and how we can test some basic programs as we go alon
X-ALT-DESC;FMTTYPE=text/html:  \; - J
une 7 - Day 2: Lua in Depth\, Part 1 For our seco
nd class\, we will begin to look at the Lua language in depth. We will loo
k at all of the basic constructs\, including variables and program flow\,
and show some examples of each and how we can test some basic programs as
we go alon
CATEGORIES:Education
UID:20160513T1849270Z-511394-862@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160608T150000
DTEND;TZID=America/Sao_Paulo:20160608T160000
SUMMARY:The Lua Programming Language for IoT - Hands On - Day 3
DESCRIPTION: June 8 - Day 3: Lua in Depth\, Part 2 For our third class\, w
e will delve into the more advanced aspects of Lua\, including nested func
tions and some of the application-specific features for gaming and other a
pplications.
X-ALT-DESC;FMTTYPE=text/html:  \; - June 8 - Day 3: Lua in Depth\, Part 2
For ou
r third class\, we will delve into the more advanced aspects of Lua\, incl
uding nested functions and some of the application-specific features for g
aming and other applications.
CATEGORIES:Education
UID:20160513T1850100Z-511394-863@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160609T150000
DTEND;TZID=America/Sao_Paulo:20160609T160000
SUMMARY:The Lua Programming Language for IoT - Hands On - Day 4
DESCRIPTION: June 9 - Day 4: The NodeMCU in Depth and Programming In this
penultimate class\, we will take what we learned so far and write some beg
inning code on the ESP8266. We will learn how to configure a node to work
with a specific network then look at ways that we can use the node in our
local Internet of Things
X-ALT-DESC;FMTTYPE=text/html:  \; - J
une 9 - Day 4: The NodeMCU in Depth and Programming In this penultimate class\, we will take what we learned so far and wri
te some beginning code on the ESP8266. We will learn how to configure a no
de to work with a specific network then look at ways that we can use the n
ode in our local Internet of Things
CATEGORIES:Education
UID:20160513T1851230Z-511394-864@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160610T150000
DTEND;TZID=America/Sao_Paulo:20160610T160000
SUMMARY:The Lua Programming Language for IoT - Hands On - Day 5
DESCRIPTION: une 10 - Day 5: Advanced Coding Now that we have written some
code and started testing out our node\, we will learn some advanced appli
cation programming and alternative means of programming our nodes. In this
final class\, we will see how to make use of the chip I/O as well as how
to load the chip with Arduino for use with the Arduino IDE and some common
sketches (programs).
X-ALT-DESC;FMTTYPE=text/html:  \; - une 10 - Day 5: Advanced Coding
Now that we
have written some code and started testing out our node\, we will learn so
me advanced application programming and alternative means of programming o
ur nodes. In this final class\, we will see how to make use of the chip I/
O as well as how to load the chip with Arduino for use with the Arduino ID
E and some common sketches (programs).
CATEGORIES:Education
UID:20160513T1852100Z-511394-865@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160601T150000
DTEND;TZID=America/Sao_Paulo:20160601T160000
SUMMARY:Noise Analysis in Precision Analog Designs
DESCRIPTION:Presented by Analog Devices and Avnet. There are many app notes
and articles explaining component-level noise analysis for amplifiers or
for ADCs\, but very few that explain how to budget noise or analyze noise
from the system level. This webcast reviews the basics of noise analysis i
n precision designs\, relates those calculations to system-level specifica
tions such as sensitivity\, dynamic range\, and resolution\, and answers s
ome of the big questions about low-noise design. Attendees Will Learn: - N
oise Calculation - Noise at the System Level - Low-Noise Design Basics Who
should attend: Engineers who want to improve their skills in analog desig
n\, as well as more experienced analog engineers looking for a refresher o
r a larger system perspective on noise. Register now for this webcast
X-ALT-DESC;FMTTYPE=text/html: Presented by Analog
Devices and Avnet. There are many app notes and articles explaini
ng component-level noise analysis for amplifiers or for ADCs\, but very fe
w that explain how to budget noise or analyze noise from the system level.
This webcast reviews the basics of noise analysis in precision designs\,
relates those calculations to system-level specifications such as sensitiv
ity\, dynamic range\, and resolution\, and answers some of the big questio
ns about low-noise design. Attendees Will Learn: - Noise Calculation - Noise at the System Level - L
ow-Noise Design Basics Who should attend: Engineers who want to improve their skills in analog design\
, as well as more experienced analog engineers looking for a refresher or
a larger system perspective on noise.
CATEGORIES:Education
UID:20160520T1731480Z-511394-866@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160524T170000
DTEND;TZID=America/Sao_Paulo:20160524T180000
SUMMARY:It’s the Connected Era. Are Your Linux Devices Secure?
DESCRIPTION: For all its promise\, the interconnected world has a dark sid
e\, with critical vulnerabilities like Heartbleed and Shellshock being rep
orted more and more often. In this web seminar\, we’ll walk you through ho
w we combat reported security exposures\, highlight statistical trends fro
m our monitoring data\, and explain different aspects you should consider
as you create your security strategy. What you will learn: How ongoing mon
itoring helps you do the work of thousands of engineers How to keep all yo
ur codebase updated as an ongoing process How to get hot fixes for reporte
d vulnerabilities How to stay protected against future attacks Speakers:
Davide Ricci\, Director\, Open Source Platforms\, Wind River Kamal Desai\
, Sr. Product Manager\, Open Source Platforms\, Wind River Moderator: Cur
t Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | For all its promise\, the interconnected world has a dark side
\, with critical vulnerabilities like Heartbleed and Shellshock being repo
rted more and more often. In this web seminar\, we&rsquo\;ll walk
you through how we combat reported security exposures\, highlight statisti
cal trends from our monitoring data\, and explain different aspects you sh
ould consider as you create your security strategy. What you will
learn: - How ongoing monitoring helps you do the work of thou
sands of engineers
- How to keep all your codebase updated as an o
ngoing process
- How to get hot fixes for reported vulnerabilities
- How to stay protected against future attacks
&n
bsp\; | Speakers: Davide Ricci\, Director\,
Open Source Platforms\, Wind River Kamal Desai\, Sr. Product Manage
r\, Open Source Platforms\, Wind River Moderator: \; Curt Schwaderer\, OpenSystems Media<
/p>
| |  \;<
/p>
CATEGORIES:Education
UID:20160520T1733380Z-511394-867@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160602T170000
DTEND;TZID=America/Sao_Paulo:20160602T180000
SUMMARY:Overcoming Embedded Systems Challenges: The Reality of Securing the
IoT
DESCRIPTION: Sensors and embedded systems are changing the way in which hu
manity interacts with and observes the universe. In order to make the IoT
a reality\, developers must overcome challenges such as sensor interface\,
data processing\, low power design and most importantly\, securing their
devices. Securing an embedded system is not a trivial endeavor and possibl
y one of the most neglected and least understood areas of development. Thi
s Webinar provides a sneak preview of the full-day Pre-Conference Symposiu
m that will be taking place at this year's Sensors Expo in San Jose. Atten
dees of this webinar will gain technical knowledge and tools to understand
the importance of securing their embedded sensor systems. Click here to
register.
X-ALT-DESC;FMTTYPE=text/html: |
 \; Sensors an
d embedded systems are changing the way in which humanity interacts with a
nd observes the universe. In order to make the IoT a reality\, developers
must overcome challenges such as sensor interface\, data processing\, low
power design and most importantly\, securing their devices. Securing an em
bedded system is not a trivial endeavor and possibly one of the most negle
cted and least understood areas of development. This Webinar provides a sn
eak preview of the full-day Pre-Conference Symposium \;that will be ta
king place at this year's \;Sensors
Expo \;in San Jose. Attendees of this webinar will gain technical
knowledge and tools to understand the importance of securing their embedde
d sensor systems. \; <
a style='color: #990000\; text-decoration: none\;' href='http://go.questex
web.com/c0pa1uWM0QuQ0YeFK0AtU00' target='_blank'>Click here to registe
r.
CATEGORIES:Education
UID:20160520T1735510Z-511394-868@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160607T160000
DTEND;TZID=America/Sao_Paulo:20160607T170000
SUMMARY:Oscilloscope Measurements for 5G\, WiGig\, and Automotive RADAR usi
ng VSA
DESCRIPTION:Why this webcast is important With emerging communication stand
ards (e.g. 5G\, WiGig) becoming more prevalent today\, R&D groups to manuf
acturing teams looking for easy and accurate methods to measure these wide
band signals. Keysight can show you how to unlock the potential of your os
cilloscope for these demanding applications. Attend the webcast and learn
how to make measurements for the next generation of signals\, today. Who s
hould attend Engineers who use wideband oscilloscopes to measure 5G\, WiGi
g\, and Automotive RADAR signals. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important With emerging communication standards (e.g. 5G\, WiGig) becoming
more prevalent today\, R&\;D groups to manufacturing teams looking for
easy and accurate methods to measure these wideband signals. Keysight can
show you how to unlock the potential of your oscilloscope for these deman
ding applications. Attend the webcast and learn how to make
measurements for the next generation of signals\, today. Who should attend Engineers who use wideband
oscilloscopes to measure 5G\, WiGig\, and Automotive RADAR signals.
CATEGORIES:Education
UID:20160520T1737260Z-511394-869@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160615T170000
DTEND;TZID=America/Sao_Paulo:20160615T180000
SUMMARY:Streamline your Software-Defined Radio with Model-Based Design
DESCRIPTION: Sponsored by: REGISTER NOW Software-Defined Radio (SDR) devel
opment requires expertise in multiple engineering disciplines\, with desig
n tasks spread across different engineering groups. These groups may be us
ing completely different design tools for their tasks\, which can lead to
inefficiencies in the overall system design process when trying to integra
te these disparate workflows. In this webcast\, we’ll show a single hardwa
re/software development and deployment environment for SDR using Model-Bas
ed Design with MATLAB and Simulink as a common design framework. With it\,
users can go from modeling and simulation to generating production implem
entations targeting SoC platforms. During our presentation\, we will demon
strate how to model and simulate radio algorithms\, model RF transceivers\
, verify algorithms in simulation with streaming RF data\, and deploy radi
o designs on a Xilinx Zynq SoC platform with HDL and C-code generation. Sp
eaker: Noam Levine\, MathWorks Moderator: Rich Nass\, OpenSystems Media R
EGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; |
| Sponsored by: | Software-Defined Radio (
SDR) development requires expertise in multiple engineering disciplines\,
with design tasks spread across different engineering groups. These groups
may be using completely different design tools for their tasks\, which ca
n lead to inefficiencies in the overall system design process when trying
to integrate these disparate workflows. In this webcast\, we&rsquo\;ll sho
w a single hardware/software development and deployment environment for SD
R using Model-Based Design with MATLAB and Simulink as a common design fra
mework. With it\, users can go from modeling and simulation to generating
production implementations targeting SoC platforms. During our pre
sentation\, we will demonstrate how to model and simulate radio algorithms
\, model RF transceivers\, verify algorithms in simulation with streaming
RF data\, and deploy radio designs on a Xilinx Zynq SoC platform with HDL
and C-code generation. | Speaker: Noam Levi
ne\, MathWorks Moderator: \;<
br />Rich Nass\, OpenSystems Media | <
/td> |  \;
CATEGORIES:Education
UID:20160520T1739360Z-511394-870@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160602T170000
DTEND;TZID=America/Sao_Paulo:20160602T180000
SUMMARY:Industrial Internet of Things Webcast Series 2016\, Part Two: Infor
mation Management for IIoT
DESCRIPTION:Control Engineering\, Oil & Gas Engineering and Plant Engineeri
ng are hosting a series of educational webcasts in 2016 covering the criti
cal aspects surrounding the latest revolution in manufacturing—the Industr
ial Internet of Things (IIoT): Industrial Internet of Things Webcast Serie
s 2016\, Part Two: Information Management for IIoT Thursday\, June 2\, 201
6 at 11 a.m. PT/1 p.m. CT/2 p.m. ET One (1) Certified Professional Develop
ment Hour (PDH) available for all attendees. Click here to register at no
cost. Modern manufacturing plants collect enormous amounts of information
each day. The difficult part has been managing that information flow\, and
more importantly\, making better use of that information flow to affect c
hange within the plant. The promise of the Industrial Internet of Things (
IIoT) is the ability to sue modern data management and storage tools to de
liver actionable data to workers at all levels of the plant when they need
it. How can that be accomplished? That question will be answered on Thurs
day\, June 2 at 2 p.m. EST as Control Engineering\, Oil & Gas Engineering
and Plant Engineering present the second of four Webcasts in 2016 on IIoT.
The June 2 Webcast\, Information Management for IIoT\, will be presented
by Sal Spada\, research director for ARC Advisory Group. Learning Objectiv
es: Understand the current state of IIoT technology and trends. Learn abou
t the ways modern data management influences IIoT adoption. Learn about th
e ways data storage influences IIoT adoption. Learn how manufacturers are
currently utilizing IIoT technology to affect change in their operation. P
resenter: Sal Spada\, Research Director\, ARC Advisory Group Sal Spada is
part of the Discrete Automation team at ARC. His responsibilities include
studies in the Worldwide CNC\, North American general motion control\, and
servo drive markets. He has more than 15 years direct experience in motio
n control system design as a software developer\, project manager\, and pr
oduct marketing manager. In the area of Computer Numerical Control (CNC)\,
his concentration has been in implementing high speed contouring algorith
ms in vertical milling applications for five axis machine geometries. Spad
a has been with ARC since 1997. He holds both a B.S. and an M.S. in Electr
ical Engineering from the University of Massachusetts with a concentration
in Adaptive Control Systems. He also holds an M.B.A. from Babson College.
Moderator: Bob Vavra\, Content Manager and Moderator\, Plant Engineering
X-ALT-DESC;FMTTYPE=text/html: Control
Engineering\, Oil &\; Gas Engineering and Pl
ant Engineering are hosting a series of educational webcasts in 2016
covering the critical aspects surrounding the latest revolution in manufac
turing&mdash\;the Industrial Internet of Things (IIoT):
p> Industrial Internet of
Things Webcast Series 2016\, Part Two: Information Management for IIoT Thursday\, June 2\, 2016 at 11 a.m. PT/1
p.m. CT/2 p.m. ET One (1) Certified Professional Development Hour (
PDH) available for all attendees. <
em>Click here to register at no cost. Mo
dern manufacturing plants collect enormous amounts of information each day
. The difficult part has been managing that information flow\, and more im
portantly\, making better use of that information flow to affect change wi
thin the plant. The promise of the Industrial Internet of Things (IIoT) is
the ability to sue modern data management and storage tools to deliver ac
tionable data to workers at all levels of the plant when they need it.
How can that be accomplished? That question will be answered on Thurs
day\, June 2 at 2 p.m. EST as Control Enginee
ring\, Oil &\; Gas Engineering and Plant Engineerin
g present the second of four Webcasts in 2016 on IIoT. The June 2 Web
cast\, Information Management for IIoT\, will be presented by Sal Spada\,
research director for ARC Advisory Group. L
earning Objectives: - Understand the current state
of IIoT technology and trends.
- Learn about the ways modern data
management influences IIoT adoption.
- Learn about the ways data s
torage influences IIoT adoption.
- Learn how manufacturers are cur
rently utilizing IIoT technology to affect change in their operation.
Presenter: Sal Spada\, Research Director\, ARC
Advisory Group Sal Spada is part of the Discrete Automation team a
t ARC. His responsibilities include studies in the Worldwide CNC\, North A
merican general motion control\, and servo drive markets. He has more than
15 years direct experience in motion control system design as a software
developer\, project manager\, and product marketing manager. In the area o
f Computer Numerical Control (CNC)\, his concentration has been in impleme
nting high speed contouring algorithms in vertical milling applications fo
r five axis machine geometries. Spada has been with ARC since 1997
. He holds both a B.S. and an M.S. in Electrical Engineering from the Univ
ersity of Massachusetts with a concentration in Adaptive Control Systems.
He also holds an M.B.A. from Babson College. <
strong class='bold11'>Moderator: Bob Vavra\, Content Manager and
Moderator\, Plant Engineering
CATEGORIES:Education
UID:20160520T1740490Z-511394-871@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160602T160000
DTEND;TZID=America/Sao_Paulo:20160602T170000
SUMMARY:Debugging DC Voltage Lines Using an Oscilloscope
DESCRIPTION:Why this webcast is important: The prosaic DC power supply is r
eceiving more and more scrutiny as supply voltages continue to get smaller
. Supply tolerances are getting tighter as users try to decrease power\, i
ncrease yield and minimize supply induced signal noise. This webcast will
discuss tools and techniques for making power integrity measurements such
as ripple\, noise\, spikes\, compression\, static/dynamic load response an
d supply induced signal noise and signal jitter. Also learn about the effe
cts of oscilloscope noise\, probe noise\, probe attenuation ratio\, offset
range\, input range\, connection technique and measuring supply/signal cr
osstalk. Who should view this webcast: Design and test engineers Register
now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important: The prosaic DC power supply is receiving more and more scrutiny a
s supply voltages continue to get smaller. Supply tolerances are getting t
ighter as users try to decrease power\, increase yield and minimize supply
induced signal noise. This webcast will discuss tools and techniques for making power int
egrity measurements such as ripple\, noise\, spikes\, compression\, static
/dynamic load response and supply induced signal noise and signal jitter.
Also learn about the effects of oscilloscope noise\, probe noise\, probe a
ttenuation ratio\, offset range\, input range\, connection technique and m
easuring supply/signal crosstalk. Who should view thi
s webcast: Design and test engineers
CATEGORIES:Education
UID:20160520T1746360Z-511394-872@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160524T160000
DTEND;TZID=America/Sao_Paulo:20160524T170000
SUMMARY:Using open source technologies to rapidly build secure IoT devices
DESCRIPTION:The internet of things is bringing with it new ways to connect
products\, new ways to deliver services\, and valuable new data sets. And
with all new things comes challenges. Starting every idea from scratch can
be expensive and time consuming. How can you prototype quickly? Where do
you start? And when the prototypes succeed and stakeholders are ready to b
ack the new product or service\, how can you quickly productize it before
the window of opportunity closes or cash runs out? In this webinar we will
look at two open source initiatives that address these challenges and hel
p bring IoT ideas to market quickly: mangOH™ Green open hardware platform
and the Legato™ open source Linux platform. Who should attend? If you’re a
n embedded hardware or software engineer exploring new IoT applications an
d services for your company\, this webinar is for you. REGISTER
X-ALT-DESC;FMTTYPE=text/html: T
he internet of things is bringing with it new ways to connect products\, n
ew ways to deliver services\, and valuable new data sets. And with all new
things comes challenges. - Starting every idea from scratch
can be expensive and time consuming. How can you prototype quickly? Where
do you start?
- And when the prototypes succeed and stakeholders a
re ready to back the new product or service\, how can you quickly producti
ze it before the window of opportunity closes or cash runs out?
In this webinar we will look at two open source initiatives that add
ress these challenges and help bring IoT ideas to market quickly: mangOH&t
rade\; Green open hardware platform and the Legato&trade\; open source Lin
ux platform. Who should attend? If you&rsquo
\;re an embedded hardware or software engineer exploring new IoT applicati
ons and services for your company\, this webinar is for you. REGISTER <
/div>
CATEGORIES:Education
UID:20160520T1749410Z-511394-873@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160531T140000
DTEND;TZID=America/Sao_Paulo:20160531T150000
SUMMARY:Discover a ready-to-use full system solution for embedded systems
DESCRIPTION:If your company designs or manufactures products such as white
goods\, door openers\, kiosk/vending machines and you want an easy to use\
, reliable and cost effective solution to implement your power supply and
the microcontroller subsystem you should attend this webinar. Attendees wi
ll learn How can you easily implement a switch mode power supply to power
your embedded system? What options do you have when implementing a power s
upply? What is synchronous rectification\, its benefits and how can you im
plement synchronous rectification in your power supply? How can you levera
ge a microcontroller reference design to implement an MCU subsystem and fo
cus on the differentiating feature of your product rather than on the desi
gn of the MCU system? Infineon's solutions for embedded systems Who should
attend? Application Engineers\, Design Engineers\, Product Marketing\, Te
chnical Marketing REGISTER
X-ALT-DESC;FMTTYPE=text/html: If your company designs or manufactures products suc
h as white goods\, door openers\, kiosk/vending machines and you want an e
asy to use\, reliable and cost effective solution to implement your power
supply and the microcontroller subsystem you should attend this webinar.
p> Attendees will learn - How can you ea
sily implement a switch mode power supply to power your embedded system?
li>
- What options do you have when implementing a power supply?
- What is synchronous rectification\, its benefits and how can you imple
ment synchronous rectification in your power supply?
- How can you
leverage a microcontroller reference design to implement an MCU subsystem
and focus on the differentiating feature of your product rather than on t
he design of the MCU system?
- Infineon's solutions for embedded s
ystems
Who should attend? Applicatio
n Engineers\, Design Engineers\, Product Marketing\, Technical Marketing
p>  \; REGISTER
CATEGORIES:Education
UID:20160520T1751210Z-511394-874@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160531T090000
DTEND;TZID=America/Sao_Paulo:20160531T120000
SUMMARY:Avalie o CompactRIO e LabVIEW da NI em suas aplicações
DESCRIPTION: Durante esse seminário gratuito\, os engenheiros da NI gu
iarão você através de exercícios práticos criados para ajudá-lo a avaliar
o CompactRIO e o LabVIEW em suas aplicações de controle e monitoramento. V
eja como você pode desenvolver rapidamente um sistema embarcado fazendo us
o de FPGAs\, sistemas operacionais de tempo real (RTOS) e E/S flexíveis. R
egistre-se e participe desse seminário e use o CompactRIO e o LabVIEW para
: Desenvolver um sistema de controle e monitoramento usando diversos tipos
de sensores\, para medir temperatura\, tensão\, vibração entre outros\; D
esenvolver aplicações práticas de controle em malha fechada\; Familiarizar
-se com o uso de programação gráfica para o desenvolvimento de sistemas em
barcados de controle e monitoramento. Inscreva-se
X-ALT-DESC;FMTTYPE=text/html:  \;  \;  \;<
/td> | Durante esse seminá\;rio
gratuito\, os engenheiros da NI guiarã\;o você\; atravé\
;s de exercí\;cios prá\;ticos criados para ajudá\;-lo a
avaliar o CompactRIO e o LabVIEW em suas aplicaç\;õ\;es de con
trole e monitoramento. Veja como você\; pode desenvolver rapidamente
um sistema embarcado fazendo uso de FPGAs\, sistemas operacionais de tempo
real (RTOS) e E/S flexí\;veis. Registre-se e participe
desse seminá\;rio e use o CompactRIO e o LabVIEW para: - Desenvolver um sistema de controle e monito
ramento usando diversos tipos de sensores\, para medir temperatura\, tens&
atilde\;o\, vibraç\;ã\;o entre outros\;
- Desenvolver aplicaç\;õ\;es pr&aacu
te\;ticas de controle em malha fechada\;
- Familiarizar-se com o uso de programaç\;ã\;o
grá\;fica para o desenvolvimento de sistemas embarcados de controle
e monitoramento.
|
CATEGORIES:Education
UID:20160520T1759510Z-511394-875@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160601T090000
DTEND;TZID=America/Sao_Paulo:20160601T100000
SUMMARY: Enabling IoT in Your Embedded Devices - A deeper dive into Windows
10 IoT
DESCRIPTION:The Internet of Things is a natural extension of today’s applic
ations\, as smart\, connected devices become part of peoples’ lives and bu
sinesses\, enabling new business models and services. To capitalize on thi
s booming opportunity\, you want to be sure you choose the right platform—
whether you’re contemplating your first device or you’re facing a migratio
n challenge. We’d like to help. Join us. For This FREE event: Enabling IoT
in Your Embedded Devices A deeper dive into Windows 10 IoT Register now a
nd hear firsthand from Microsoft and our Disti experts how Windows 10 IoT
can enable you to build innovative\, intelligent devices with enterprise-g
rade security\, manageability\, and line-of-business applications to scale
across a wide range of devices. Microsoft experts will discuss:
X-ALT-DESC;FMTTYPE=text/html: The Internet of Things is a natural extension of today&rsquo\;s
applications\, as smart\, connected devices become part of peoples&rsquo\
; lives and businesses\, enabling new business models and services. <
p style='color: #000000\; font-size: 12px\; line-height: 16px\; margin-top
: 0px\; margin-bottom: 14px\; font-family: Segoe UI\,Arial\,Sans-Serif\;'>
To capitalize on this booming opportunity\, you want to be sure you choose
the right platform&mdash\;whether you&rsquo\;re contemplating your first
device or you&rsquo\;re facing a migration challenge. We&rsquo\;d like to
help. Join us. For This FREE event
: Enabling IoT in Your Embedded Devices A deeper
dive into Windows 10 IoT Register now and hear
firsthand from Microsoft and our Disti experts how Windows 10 IoT can ena
ble you to build innovative\, intelligent devices with enterprise-grade se
curity\, manageability\, and line-of-business applications to scale across
a wide range of devices. Microsoft experts will discuss:
CATEGORIES:Education
UID:20160528T1236290Z-511394-876@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160616T140000
DTEND;TZID=America/Sao_Paulo:20160616T150000
SUMMARY:Polycarbonate LED Optics: Selecting the Right Material and Using Op
tical Analysis to Determine Optical Performance
DESCRIPTION:This webinar will demonstrate improved optical performance of M
akrolon® polycarbonate LED grades and how to use simulation software to de
termine which plastic should be used for LED lens and light guide designs.
What You'll Learn: How to determine which polycarbonate materials sho
uld be used for an LED lens or light guide design How to assess power flow
and thermal loading in an LED lens design or light guide design How polyc
arbonate properties can enhance performance of LED optic systems Who Sho
uld Attend: Functions that specify materials for use Design Engineers New
Product Development R&D Industrial Designers Marketing
X-ALT-DESC;FMTTYPE=text/html: This webinar will demonstrate improved optical performance
of Makrolon®\; polycarbonate LED grades and how to use simulation softw
are to determine which plastic should be used for LED lens and light guide
designs.  \; What Y
ou'll Learn:
 \; - How to determine which polycarbonate materials should be used for a
n LED lens or light guide design
- How to assess power flow and thermal loading
in an LED lens design or light guide design
- How polycarbonate properties can
enhance performance of LED optic systems
 \;
p> <
span style='font-size: 12px\; color: #000000\; font-family: Arial\,Helveti
ca\,sans-serif\;'><
strong>Who Should Attend: - Functions that specify mate
rials for use
- Design Engineers
- New Product Development
- R&\;D
- Industrial Designers
- Marketing
 \;
p>
CATEGORIES:Education
UID:20160602T1854280Z-511394-877@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160621T170000
DTEND;TZID=America/Sao_Paulo:20160621T180000
SUMMARY:From Engineer to Entrepreneur: Simulation Apps in Additive Manufact
uring
DESCRIPTION:In high-value additive manufacturing processes\, geometric dist
ortion can occur when the heat source melts too far into deposited layers
and generates new thermal stresses. Residual stresses can warp the final g
eometry\, which may have adverse impact in applications with tight toleran
ce requirements. In this webinar we will show how to use numerical simulat
ion to investigate this phenomenon using COMSOL Multiphysics® software\, i
ncluding how to create a customized application that readily allows differ
ent teams to perform analyses. A live demo in the software will be followe
d by a Q&A session. Shaped metal deposition (SMD) simulation app created u
sing the Application Builder available in COMSOL Multiphysics. The app com
putes the residual stresses generated during the manufacturing process and
predicts the final deflection of the part. Register now for this live web
cast
X-ALT-DESC;FMTTYPE=text/html: In high-value additive manuf
acturing processes\, geometric distortion can occur when the heat source m
elts too far into deposited layers and generates new thermal stresses. Res
idual stresses can warp the final geometry\, which may have adverse impact
in applications with tight tolerance requirements. In this webinar we wil
l show how to use numerical simulation to investigate this phenomenon usin
g COMSOL Multiphysics®\; software\, including how to create a customize
d application that readily allows different teams to perform analyses. A l
ive demo in the software will be followed by a Q&\;A session.
|
Shaped metal deposition (SMD) simulati
on app created using the Application Builder available in COMSOL Multiphys
ics. The app computes the residual stresses generated during the manufactu
ring process and predicts the final deflection of the part. |
CATEGORIES:Education
UID:20160602T1856590Z-511394-878@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160609T170000
DTEND;TZID=America/Sao_Paulo:20160609T180000
SUMMARY:Use PolyJet™ for Fast\, On-Demand Jig and Fixture Production
DESCRIPTION:Make your operation more efficient and productive by using 3D p
rinting to make jigs and fixtures instead of traditional manufacturing met
hods. In this webinar you’ll see how PolyJet technology makes jig and fixt
ure production easier and faster than conventional methods\, allowing you
to make and use more of them. What You'll Learn In this free 30-minute web
inar\, we'll discuss: Who can benefit from PolyJet jigs and fixtures PolyJ
et's role in streamlining jig and fixture creation Comparison between conv
entional and PolyJet manufacturing methods Where PolyJet jigs and fixtures
are a best fit How other companies have benefitted Who Should Attend? Man
ufacturing and production managers & engineers Engineering managers & dire
ctors Tooling designers and producers Design engineers Machine shop superv
isors Machinists Assembly supervisors Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Make your opera
tion more efficient and productive by using 3D printing to make jigs and f
ixtures instead of traditional manufacturing methods. In this webinar you&
rsquo\;ll see how PolyJet technology makes jig and fixture production easi
er and faster than conventional methods\, allowing you to make and use mor
e of them. What You'll Learn
In this free 30-minute webinar\, we'll discuss: <
li>Who can benefit from PolyJet jigs and fixtures - PolyJet's role in streamlining jig and
fixture creation
- Comparison between
conventional and PolyJet manufacturing methods
- Where PolyJet jigs and fixtures are a best fit
- How other companies have benefitted
Who Should Attend?
- Manufacturing and production managers &am
p\; engineers
- Engineering managers &
amp\; directors
- Tooling designers an
d producers
- Design engineers<
/li>
- Machine shop supervisors
- <
span class='style2'>Machinists
- Assem
bly supervisors
CATEGORIES:Education
UID:20160602T1859170Z-511394-879@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160616T170000
DTEND;TZID=America/Sao_Paulo:20160616T180000
SUMMARY:Hear from the Experts: Why Connected Product Management is Critical
to IoT Success
DESCRIPTION: The Internet of Things introduces a wide variety of new chall
enges for companies to overcome\, before they can realize the opportunity.
The IoT is not just about connecting a product. Companies need to conside
r design\, development\, and launch of a product but also over the lifetim
e\, how they will support customers and improve brand experiences. In this
webinar you will hear technical insights and tips from real world practit
ioners that have been in IoT for years and have experience developing\, de
ploying and working with a variety of companies to solve complex IoT chall
enges. This webinar will discuss and share the insights this team has gain
ed from developing a multitude of IoT solutions and provide concrete examp
les to help other companies better navigate the IoT. You’ll hear answers t
o questions including: What should you build from scratch? When should you
rely on pre-built platform solutions? What is connected product managemen
t and why is it important to IoT? Why are certain elements like security a
nd identity management difficult when it comes to building and managing an
IoT solution? Why is it important to think beyond connecting your product
? What are some powerful ways companies\, like Xively customers\, are usin
g connected product data? How are Xively customers integrating data into b
usiness processes to create value? What is important to consider? What cha
llenges are customers facing? Speakers: Paul Caponetti\, IoT Customer Su
ccess\, Xively Calum Barnes\, Manager\, IoT Products\, Xively Moderated by
Ryan Lester\, Director of IoT Strategy\, Xively Moderator: Brandon Lewis
\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | | The Internet of Things introduces a w
ide variety of new challenges for companies to overcome\, before they can
realize the opportunity. The IoT is not just about connecting a product. C
ompanies need to consider design\, development\, and launch of a product b
ut also over the lifetime\, how they will support customers and improve br
and experiences. In this webinar you will hear technical insights and tips
from real world practitioners that have been in IoT for years and have ex
perience developing\, deploying and working with a variety of companies to
solve complex IoT challenges. This webinar will discuss and share the ins
ights this team has gained from developing a multitude of IoT solutions an
d provide concrete examples to help other companies better navigate the Io
T. You&rsquo\;ll hear answers to questions including: <
li>What should you build from scratch? When should you rely on pre-built p
latform solutions? - What is connected product management and why
is it important to IoT?
- Why are certain elements like security a
nd identity management difficult when it comes to building and managing an
IoT solution?
- Why is it important to think beyond connecting yo
ur product? What are some powerful ways companies\, like Xively customers\
, are using connected product data?
- How are Xively customers int
egrating data into business processes to create value? What is important t
o consider? What challenges are customers facing?
 \;<
/p> | Speakers: Paul Caponetti\, IoT Customer S
uccess\, Xively Calum Barnes\, Manager\, IoT Products\, Xively
Moderated by Ryan Lester\, Director of IoT Strategy\, Xively Moderator: \; Brandon Lewis
\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20160602T1900510Z-511394-880@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160623T170000
DTEND;TZID=America/Sao_Paulo:20160623T180000
SUMMARY:Scaling IoT Applications - IoT Panel Discussion
DESCRIPTION: Sponsored by: REGISTER NOW Companies making virtually any kin
d of embedded systems are now faced with the daunting task of interconnect
ing these devices into a larger communications system that provides intell
igent guidance for the user and important analytics that measure efficienc
ies and capabilities of the resulting IoT system. Creating prototypes of t
he environment can be deceptively simple – engineering teams may be able t
o put together compelling proof-ofconcept prototypes in a matter of days o
r weeks. However\, scaling these prototype systems to industrial or reside
ntial scales dramatically increases complexity in terms of maintaining per
formance\, obtaining the right precision for the application\, and interfa
cing to external systems with collection and analytics capabilities. Join
us as industry experts discuss scaling prototypes to full blown IoT applic
ations in residential and industrial applications. Sponsors: Ayla Networks
\, Intel\, McObject\, Red Hat\, RTI Moderator: Curt Schwaderer\, OpenSyst
ems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | <
/tr> Companies making virtually any kind of embedded s
ystems are now faced with the daunting task of interconnecting these devic
es into a larger communications system that provides intelligent guidance
for the user and important analytics that measure efficiencies and capabil
ities of the resulting IoT system. Creating prototypes of the environment
can be deceptively simple &ndash\; engineering teams may be able to put to
gether compelling proof-ofconcept prototypes in a matter of days or weeks.
However\, scaling these prototype systems to industrial or residential sc
ales dramatically increases complexity in terms of maintaining performance
\, obtaining the right precision for the application\, and interfacing to
external systems with collection and analytics capabilities. Join
us as industry experts discuss scaling prototypes to full blown IoT applic
ations in residential and industrial applications. |
Spons
ors: Ayla Networks\, Intel\, McObject\, Red Hat\, RTI
Moderator: \; Curt S
chwaderer\, OpenSystems Media | | <
/tbody>
CATEGORIES:Education
UID:20160602T1904070Z-511394-881@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160614T050000
DTEND;TZID=America/Sao_Paulo:20160614T060000
SUMMARY:How to Build Trust and Security into your Embedded Devices
DESCRIPTION:Talking Security - webinar series Hackers need to exp
loit just one vulnerability to wreak havoc\, we need to find them all. Tru
st and security need to tackle multi dimensional problems\, which are requ
iring multiple techniques to address the range of potential security attac
ks in the embedded marketplace and Internet of Things. ARM is hosting a se
ries of webinar on these exciting subjects with our experts demonstrating
how to implement a security solution that is right for your design. Spea
kers: Rob Coombs\, Director Security Marketing\, ARM Martin Weidmann\, Pri
ncipal Applications Engineer\, ARM Join Rob and Martin to get an outline
of the underlying security principles and different security methods used
to protect devices. Rob will discuss a number of embedded use cases and t
he security techniques applied\, while Martin will introduce you to ARM se
curity technologies. Register nowÈ
X-ALT-DESC;FMTTYPE=text/html: Talkin
g Security - webinar series
 \; |  \; |  \;<
/td> |
 \; | Hackers need to exploit just one vulne
rability to wreak havoc\, we need to find them all. Trust and secu
rity need to tackle multi dimensional problems\, which are requiring multi
ple techniques to address the range of potential security attacks in the e
mbedded marketplace and Internet of Things. ARM is hosting a series of web
inar on these exciting subjects with our experts demonstrating how to impl
ement a security solution that is right for your design. |
|  \; Speakers: Rob Coombs\, Director Security Marketing
\, ARM Martin Weidmann\, Principal Applications Engineer\, ARM
 \; |
Join Rob and Martin to get an outline of the underlying security princi
ples and different security methods used to protect devices. Rob will disc
uss a number of embedded use cases and the security techniques applied\, w
hile Martin will introduce you to ARM security technologies. | <
/tr>
CATEGORIES:Education
UID:20160602T1908060Z-511394-882@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160628T050000
DTEND;TZID=America/Sao_Paulo:20160628T060000
SUMMARY:Meet the Experts: ARM TrustZone - understanding system security
DESCRIPTION:Talking Security - webinar series Hackers need to exp
loit just one vulnerability to wreak havoc\, we need to find them all. Tru
st and security need to tackle multi dimensional problems\, which are requ
iring multiple techniques to address the range of potential security attac
ks in the embedded marketplace and Internet of Things. ARM is hosting a se
ries of webinar on these exciting subjects with our experts demonstrating
how to implement a security solution that is right for your design. Spea
kers: Chris Shore\, Training Manager\, ARM Peter Rielly\, Application Engi
neer\, ARM This webinar will give an overview of the TrustZone architect
ure as implemented in ARMv8-A systems and then introduce the new TrustZone
for ARMv8-M architecture aimed at microcontrollers and deeply embedded sy
stems. Register nowÈ
X-ALT-DESC;FMTTYPE=text/html: Talkin
g Security - webinar series
 \; |  \; |  \;<
/td> |
 \; | Hackers need to exploit just one vulnerability to wreak havoc
\, we need to find them all. Trust and security need to tackle mul
ti dimensional problems\, which are requiring multiple techniques to addre
ss the range of potential security attacks in the embedded marketplace and
Internet of Things. ARM is hosting a series of webinar on these exciting
subjects with our experts demonstrating how to implement a security soluti
on that is right for your design. | <
/td> |  \; Speakers: Chris Shore\, Training Manager\, ARM Peter Rielly\, Appli
cation Engineer\, ARM  \
; | This webinar will give an overview of the Tr
ustZone architecture as implemented in ARMv8-A systems and then introduce
the new TrustZone for ARMv8-M architecture aimed at microcontrollers and d
eeply embedded systems. |  \;
CATEGORIES:Education
UID:20160602T1910240Z-511394-883@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160614T163000
DTEND;TZID=America/Sao_Paulo:20160614T173000
SUMMARY: Enabling IoT in Your Embedded Devices - A deeper dive into Windows
10 IoT
DESCRIPTION: The Internet of Things is a natural extension of today’s appl
ications\, as smart\, connected devices become part of peoples’ lives and
businesses\, enabling new business models and services. To capitalize on t
his booming opportunity\, you want to be sure you choose the right platfor
m—whether you’re contemplating your first device or you’re facing a migrat
ion challenge. We’d like to help. Join us. For This FREE event: Enabling I
oT in Your Embedded Devices A deeper dive into Windows 10 IoT Register now
and hear firsthand from Microsoft and our Disti experts how Windows 10 Io
T can enable you to build innovative\, intelligent devices with enterprise
-grade security\, manageability\, and line-of-business applications to sca
le across a wide range of devices. Microsoft experts will discuss: Dive
into the Windows 10 IoT editions\, their capabilities\, interoperability\,
and how to use them to create more secure and manageable enterprise-class
devices. Share insight on how to use the value of Windows when building t
hese next-generation devices. Walk through the various SKUs and the 'ins a
nd outs' of licensing and lifecycle support. Explore how to navigate the m
igration process to move your device from legacy Windows Embedded devices
or competitive platforms to the modern Windows 10 platform.
X-ALT-DESC;FMTTYPE=text/html: &
nbsp\; The Internet of Things is a natural extension of today&rsquo\
;s applications\, as smart\, connected devices become part of peoples&rsqu
o\; lives and businesses\, enabling new business models and services.
To capitalize on this booming opportunity\, you want to be sure you choo
se the right platform&mdash\;whether you&rsquo\;re contemplating your firs
t device or you&rsquo\;re facing a migration challenge. We&rsquo\;d like t
o help. Join us. For Thi
s FREE event: Enabling IoT in Your Embedded Devices <
em>A deeper dive into Windows 10 IoT Register now and hear firsthand from Microsoft and our Disti experts how Windows 10
IoT can enable you to build innovative\, intelligent devices with enterpr
ise-grade security\, manageability\, and line-of-business applications to
scale across a wide range of devices.  \; Microsoft expert
s will discuss: - Dive into the Windows 10 IoT editions\, the
ir capabilities\, interoperability\, and how to use them to create more se
cure and manageable enterprise-class devices.
- Share insight on h
ow to use the value of Windows when building these next-generation devices
.
- Walk through the various SKUs and the 'ins and outs' of licens
ing and lifecycle support.
- Explore how to navigate the migration
process to move your device from legacy Windows Embedded devices or compe
titive platforms to the modern Windows 10 platform.
 \;<
/p>
CATEGORIES:Education
UID:20160602T1918210Z-511394-884@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160609T100000
DTEND;TZID=America/Sao_Paulo:20160609T110000
SUMMARY:The Applications and Industries Being Powered by Bluetooth - AMER
DESCRIPTION:Summary Over the course of more than a decade\, Bluetooth has
become virtually ubiquitous where wireless connectivity is present. We’ve
seen the growth of the standard grow as our preference for portable mobil
e devices has grown\, but there are other industries that are seeing signi
ficant benefits from this standard. In this webinar we’ll explore some of
these industries\, from the wearable revolution to its growing popularity
beyond our personal space and into industrial automation and home networki
ng. Join our hour-long Bluetooth webinar on June 09\, 2016 at 10:00 AM a
nd get your questions answered during our Live Q&A session at the end.
X-ALT-DESC;FMTTYPE=text/html: Over the course
of more than a decade\, Bluetooth has become virtually ubiquitous where w
ireless connectivity is present. We&rsquo\;ve seen the growth of the stand
ard grow as our preference for portable mobile devices has grown\, but the
re are other industries that are seeing significant benefits from this sta
ndard. In this webinar we&rsquo\;ll explore some of these industries\, fro
m the wearable revolution to its growing popularity beyond our personal sp
ace and into industrial automation and home networking.
 \; Join our hour-long Bluetooth webinar on
June 09\, 2016 at \;10:00 AM \;and \;get your questions answe
red during our Live Q&\;A session at the end.  \;  \;<
/p>
CATEGORIES:General
UID:20160602T1925260Z-511394-885@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160615T140000
DTEND;TZID=America/Sao_Paulo:20160615T150000
SUMMARY:The Inside Story: How OPC UA and DDS Can Work Together in Industria
l Systems
DESCRIPTION: The Object Management Group® (OMG®) and the OPC Foundation re
cently announced a collaborative strategy for the two leading connectivity
standards for the Industrial Internet of Things (IIoT)—the OMG Data Distr
ibution Service (DDS) and the OPC United Architecture (OPC UA) standard. T
he two standards organizations\, together with the Industrial Internet Con
sortium (IIC)\, Industrie 4.0\, and leading DDS and OPC UA vendors will le
ad this strategy to enable immediate Industrial IoT market adoption. In th
is webinar\, Stan Schneider\, CEO of RTI\, and Thomas J. Burke\, OPC Found
ation President & Executive Director\, will outline a strategy for the int
egration of these standards and discuss how they are largely complementary
and compatible. Attendees will learn how these standards are important to
the future of the IIoT as well as how companies such as GE\, Honeywell\,
National Instruments\, SAP and Siemens are supporting the strategy. Speake
rs: Stan Schneider\, CEO\, RTI Thomas J. Burke\, President & Executive Dir
ector\, OPC Foundation Moderator: Curt Schwaderer\, OpenSystems Media REG
ISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | | The Object Management Group®\; (OMG®\;) and the OPC
Foundation recently announced a collaborative strategy for the two leading
connectivity standards for the Industrial Internet of Things (IIoT)&mdash
\;the OMG Data Distribution Service (DDS) and the OPC United Architecture
(OPC UA) standard. The two standards organizations\, together with the Ind
ustrial Internet Consortium (IIC)\, Industrie 4.0\, and leading DDS and OP
C UA vendors will lead this strategy to enable immediate Industrial IoT ma
rket adoption. In this webinar\, Stan Schneider\, CEO of RTI\, and
Thomas J. Burke\, OPC Foundation President &\; Executive Director\, wi
ll outline a strategy for the integration of these standards and discuss h
ow they are largely complementary and compatible. Attendees will learn how
these standards are important to the future of the IIoT as well as how co
mpanies such as GE\, Honeywell\, National Instruments\, SAP and Siemens ar
e supporting the strategy. | Speakers: Stan
Schneider\, CEO\, RTI Thomas J. Burke\, President &\; Executive
Director\, OPC Foundation Moderat
or: \; Curt Schwaderer\, OpenSystems Media | <
/tr> |
CATEGORIES:Education
UID:20160602T1926500Z-511394-886@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160607T170000
DTEND;TZID=America/Sao_Paulo:20160607T180000
SUMMARY:IIoT in Action: Practical Steps & Technologies to Make IIoT a Reali
ty Now
DESCRIPTION:4 Practical Steps to Start Implementing IIoT Now Industrial Int
ernet\, IIoT and Industry 4.0 are no longer about the future\, but rather
what you can do now. Internet-enabled technologies are converging to enric
h industrial applications\, advancing new solutions that optimize processe
s and investments. Smart\, connected products\, machines and humans now co
llaborate in a secure and efficient ecosystem enabled by IIoT technologies
. Companies that seize this opportunity now can get a competitive edge in
the future. Attend this IndustryWeek\, Machine Design\, and Penton's IoT I
nstitute-hosted webinar where Schneider Electric's Ralf Neubert will provi
de you with the following tools to easily implement IIoT in your business:
Real-life examples of IIoT in action through a customer case study How to
maximize current assets and new technologies to produce future-proof proc
esses and machines 4 practical and manageable steps to start implementing
IIoT now Sign up for my free webinar. Following the event\, all webinar
participants will receive a how-to guide: 4 Simple Steps to IIoT Success.
As a thank you for attending: 50 randomly-selected individuals will receiv
e a $10 Amazon.com gift certificate. (See website for full rules.) EVENT
DETAILS: 'IIoT in Action: Practical Steps & Technologies to Make IIoT a Re
ality Now' DATE: Tuesday\, June 7\, 2016 TIME: 2:00 p.m. EDT (GMT -4\, New
York) DURATION: One hour COST: None Sponsored by Schneider Electric Sign
up for my free webinar.
X-ALT-DESC;FMTTYPE=text/html:
4 Practical Steps to Start Implementing IIoT Now <
span style='font-family: Arial\;'>Industrial Internet\, IIoT and Industry
4.0 are no longer about the future\, but rather what you can d
o now. Internet-enabled technologies are converging to enric
h industrial applications\, advancing new solutions that optimize processe
s and investments. Smart
\, connected products\, machines and humans now collaborate in a secure an
d efficient ecosystem enabled by IIoT technologies. Companies that seize t
his opportunity now can get a competitive edge in the future.
Attend this IndustryWeek\, Machine D
esign\, and Penton's IoT Institute-hosted webinar where Schneider Electric
's Ralf Neubert will provide you with the following tools to easily implement IIoT in your business: - Real-life examples of IIoT in action t
hrough a customer case study
- How to maximize current assets and new technologies to produce future-proof processes and machines
- 4 \;practical and manageable
steps to start implementing IIoT now
Sign up for my free webinar.  \; Following the event\, all w
ebinar participants will receive a how-to guide: \;4 Simple Steps
to IIoT Success. As a thank you for attending: 50 randomly-selected individuals will receive a $10 Amazon.co
m gift certificate. \; (See website for full rules.) EVENT DETAILS: 'IIoT in Action: Practical Steps &\; Technologies to Make IIoT a Re
ality Now' DATE: Tuesday\, June 7\, 2016 TIME: 2:00 p.m
. EDT (GMT -4\, New York) DURATION: One hour COST: None
Sponsored by Schneider Electric Sign up for my free webinar.
CATEGORIES:Education
UID:20160602T1930040Z-511394-887@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160616T140000
DTEND;TZID=America/Sao_Paulo:20160616T150000
SUMMARY:No More ALM
DESCRIPTION: The benefits of a unified and holistic ALM approach to softwa
re development can go a long way in your business\, especially in product
development and enterprise IT. Join Stefano Rizzo\, an expert from Polario
n Software\, in this 60-minute webinar as he discusses the following topic
s: True ALM: holistic lifecycle management to ensure traceability\, visibi
lity\, and collaboration Managing complex Application Development or addre
ssing Agile projects can have a single answer\, in Ovum’s view Discover wh
y Polarion is a leader in Ovum Decision Matrices for both ALM and Agile PM
Get an overview of what “Holistic ALM” means with Polarion: unified ALM o
pen to 3rd party integrations and PLM In addition\, hear first-hand key fi
ndings recently published in the 2016-17 OVUM Decision Matrix\; Selecting
an ALM Management Solution on how “using an integrated holistic ALM soluti
on is the best way to benefit from the promise of ALM which includes real-
time end-to-end traceability\, project visibility\, and team collaboration
.” and how “Polarion ALM had a holistic\, integrated\, ALM solution in-the
-box before the market understood its advantages.' Speaker: Stefano Rizzo\
, SVP\, Polarion Software Moderator: Brandon Lewis\, OpenSystems Media RE
GISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | The benefits of a unified and holistic ALM approach to software deve
lopment can go a long way in your business\, especially in product develop
ment and enterprise IT. Join Stefano Rizzo\, an expert from Polarion Softw
are\, in this 60-minute webinar as he discusses the following topics:
- True ALM: holistic lifecycle management to ensure traceability\
, visibility\, and collaboration
- Managing complex Application De
velopment or addressing Agile projects can have a single answer\, in Ovum&
rsquo\;s view
- Discover why Polarion is a leader in Ovum Decision
Matrices for both ALM and Agile PM
- Get an overview of what &ldq
uo\;Holistic ALM&rdquo\; means with Polarion: unified ALM open to 3rd part
y integrations and PLM
In addition\, hear first-hand key f
indings recently published in the 2016-17 OVUM Decision Matrix\; Selecting
an ALM Management Solution on how &ldquo\;using an integrated holistic AL
M solution is the best way to benefit from the promise of ALM which includ
es real-time end-to-end traceability\, project visibility\, and team colla
boration.&rdquo\; and how &ldquo\;Polarion ALM had a holistic\, integrated
\, ALM solution in-the-box before the market understood its advantages.'
p> | Speaker: Stefano Rizzo\, SVP\, Polarion So
ftware Moderator: \; Brandon Lewis\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20160602T1933460Z-511394-888@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160615T140000
DTEND;TZID=America/Sao_Paulo:20160615T150000
SUMMARY:Double the Value - Accelerated SoC Verification AND Earlier Softwar
e Bring-up with Verdi HW SW Debug
DESCRIPTION:In this webinar\, we will show how simultaneous\, synchronized
views of design behavior at the software and hardware levels helps enginee
rs at both levels debug efficiently and effectively. We’ll demonstrate how
the Synopsys Verdi HW SW Debug solution seamlessly combines the industry-
leading Verdi hardware debug with Eclipse-based software debug to provide
a simple\, yet powerful unified debug environment. Further\, we will show
how the solution is adapted easily to different processor core families in
cluding custom cores\, as well as how it scales to debug multiple cores on
a single SoC. Overall\, these techniques will enable better SoC verificat
ion\, accelerate software bring up and help achieve faster time-to-market.
Register Now!
X-ALT-DESC;FMTTYPE=text/html:In this webinar\, we will show how simultan
eous\, synchronized views of design behavior at the software and hardware
levels helps engineers at both levels debug efficiently and effectively. W
e&rsquo\;ll demonstrate how the Synopsys Verdi HW SW Debug solution seamle
ssly combines the industry-leading Verdi hardware debug with Eclipse-based
software debug to provide a simple\, yet powerful unified debug environme
nt.
Further\, we will show how the solution is adapted easily
to different processor core families including custom cores\, as well as h
ow it scales to debug multiple cores on a single SoC. Overall\, these tech
niques will enable better SoC verification\, accelerate software bring up
and help achieve faster time-to-market. Register Now!
CATEGORIES:Education
UID:20160609T1853260Z-511394-889@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160620T170000
DTEND;TZID=America/Sao_Paulo:20160620T180000
SUMMARY:Embedded Development with Microchip's New 8-bit Microcontrollers -
Day 1
DESCRIPTION:This lecture series\, taught by Fred Eady\, will focus on build
ing embedded applications using Microchip's latest 8-bit software and hard
ware development tools. Hardware solutions presented in each lecture sessi
on will be supported by Microchip's MPLAB X IDE and XC8 C compiler. Each l
ecture will feature a mix of the latest Microchip 8-bit development tools
and newly announced 8-bit PIC microcontrollers. June 20 - Day 1: 8-bit Emb
edded Development Essentials Today’s lecture will lay the groundwork for d
eveloping 8-bit PIC microcontroller applications using MPLAB X\, XC8 and t
he new Curiosity Development Board. Code produced during this lecture will
configure and enable peripherals and GPIO on the targeted 8-bit PIC devic
es.
X-ALT-DESC;FMTTYPE=text/html:This lecture
series\, taught by Fred Eady\, will focus on building embedded applicat
ions using Microchip's latest 8-bit software and hardware development tool
s. Hardware solutions presented in each lecture session will be supported
by Microchip's MPLAB X IDE and XC8 C compiler. Each lecture will feature a
mix of the latest Microchip 8-bit development tools and newly announced 8
-bit PIC microcontrollers. June 20 - Day 1: 8-bit Embedd
ed Development Essentials Today&rsquo\;s lecture will l
ay the groundwork for developing 8-bit PIC microcontroller applications us
ing MPLAB X\, XC8 and the new Curiosity Development Board. Code produced d
uring this lecture will configure and enable peripherals and GPIO on the t
argeted 8-bit PIC devices.
CATEGORIES:General
UID:20160609T1857190Z-511394-890@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160621T170000
DTEND;TZID=America/Sao_Paulo:20160621T180000
SUMMARY:Embedded Development with Microchip's New 8-bit Microcontrollers -
Day 2
DESCRIPTION:This lecture series\, taught by Fred Eady\, will focus on build
ing embedded applications using Microchip's latest 8-bit software and hard
ware development tools. Hardware solutions presented in each lecture sessi
on will be supported by Microchip's MPLAB X IDE and XC8 C compiler. Each l
ecture will feature a mix of the latest Microchip 8-bit development tools
and newly announced 8-bit PIC microcontrollers. June 21 - Day 2: 8-bit Emb
edded Development Using the MPLAB Code Configurator The MPLAB Code Configu
rator is a graphical programming environment that is integrated into MPLAB
X. C source code generated by the MPLAB Code Configurator can be inserted
into an 8-bit PIC application. In this lecture\, we will use the Explorer
8 Development Kit to demonstrate the capabilities of the MPLAB Code Confi
gurator.
X-ALT-DESC;FMTTYPE=text/html:This lecture
series\, taught by Fred Eady\, will focus on building embedded applicat
ions using Microchip's latest 8-bit software and hardware development tool
s. Hardware solutions presented in each lecture session will be supported
by Microchip's MPLAB X IDE and XC8 C compiler. Each lecture will feature a
mix of the latest Microchip 8-bit development tools and newly announced 8
-bit PIC microcontrollers. June 21 - Day 2: 8-bit Embedd
ed Development Using the MPLAB Code Configurator The M
PLAB Code Configurator is a graphical programming environment that is inte
grated into MPLAB X. C source code generated by the MPLAB Code Configurato
r can be inserted into an 8-bit PIC application. In this lecture\, we will
use the Explorer 8 Development Kit to demonstrate the capabilities of the
MPLAB Code Configurator.
CATEGORIES:General
UID:20160609T1858020Z-511394-891@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160622T170000
DTEND;TZID=America/Sao_Paulo:20160622T180000
SUMMARY:Embedded Development with Microchip's New 8-bit Microcontrollers -
Day 3
DESCRIPTION:This lecture series\, taught by Fred Eady\, will focus on build
ing embedded applications using Microchip's latest 8-bit software and hard
ware development tools. Hardware solutions presented in each lecture sessi
on will be supported by Microchip's MPLAB X IDE and XC8 C compiler. Each l
ecture will feature a mix of the latest Microchip 8-bit development tools
and newly announced 8-bit PIC microcontrollers. June 22 - Day 3: 8-bit Blu
etooth via the RN4020 Sensors attached to the PICDEM Lab II Development Bo
ard rule the day. During the course of this lecture we will employ the ser
vices of the RN4020 Bluetooth Low Energy Module to bounce sensor data arou
nd in a Bluetooth PAN. We will also instruct the 8-bit PIC in charge to co
erce our RN4020 device into interfacing with a mobile device.
X-ALT-DESC;FMTTYPE=text/html:This lecture
series\, taught by Fred Eady\, will focus on building embedded applicat
ions using Microchip's latest 8-bit software and hardware development tool
s. Hardware solutions presented in each lecture session will be supported
by Microchip's MPLAB X IDE and XC8 C compiler. Each lecture will feature a
mix of the latest Microchip 8-bit development tools and newly announced 8
-bit PIC microcontrollers. June 22 - Day 3: 8-bit Blueto
oth via the RN4020 Sensors attached to the PICDEM Lab I
I Development Board rule the day. During the course of this lecture we wil
l employ the services of the RN4020 Bluetooth Low Energy Module to bounce
sensor data around in a Bluetooth PAN. We will also instruct the 8-bit PIC
in charge to coerce our RN4020 device into interfacing with a mobile devi
ce.
CATEGORIES:Education
UID:20160609T1858510Z-511394-892@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160623T170000
DTEND;TZID=America/Sao_Paulo:20160623T180000
SUMMARY:Embedded Development with Microchip's New 8-bit Microcontrollers -
Day 4
DESCRIPTION:This lecture series\, taught by Fred Eady\, will focus on build
ing embedded applications using Microchip's latest 8-bit software and hard
ware development tools. Hardware solutions presented in each lecture sessi
on will be supported by Microchip's MPLAB X IDE and XC8 C compiler. Each l
ecture will feature a mix of the latest Microchip 8-bit development tools
and newly announced 8-bit PIC microcontrollers. June 23 - Day 4: 8-bit Sup
er PICs Today will be dedicated to exercising the advanced features of the
enhanced 8-bit PIC microcontroller family. These new 8-bit Super PICs are
equipped with advanced analog computational capabilities and core indepen
dent peripherals. We will combine the forces of MPLAB X\, XC8 and the Micr
ochip Code Configurator to put the peripherals through their paces.
X-ALT-DESC;FMTTYPE=text/html:This lecture
series\, taught by Fred Eady\, will focus on building embedded applicat
ions using Microchip's latest 8-bit software and hardware development tool
s. Hardware solutions presented in each lecture session will be supported
by Microchip's MPLAB X IDE and XC8 C compiler. Each lecture will feature a
mix of the latest Microchip 8-bit development tools and newly announced 8
-bit PIC microcontrollers. June 23 - Day 4: 8-bit Super
PICs Today will be dedicated to exercising the advanced
features of the enhanced 8-bit PIC microcontroller family. These new 8-bi
t Super PICs are equipped with advanced analog computational capabilities
and core independent peripherals. We will combine the forces of MPLAB X\,
XC8 and the Microchip Code Configurator to put the peripherals through the
ir paces.
CATEGORIES:Education
UID:20160609T1859380Z-511394-893@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160624T170000
DTEND;TZID=America/Sao_Paulo:20160624T180000
SUMMARY:Embedded Development with Microchip's New 8-bit Microcontrollers -
Day 5
DESCRIPTION:This lecture series\, taught by Fred Eady\, will focus on build
ing embedded applications using Microchip's latest 8-bit software and hard
ware development tools. Hardware solutions presented in each lecture sessi
on will be supported by Microchip's MPLAB X IDE and XC8 C compiler. Each l
ecture will feature a mix of the latest Microchip 8-bit development tools
and newly announced 8-bit PIC microcontrollers. June 24 - Day 5: Ethernet
Connectivity Using PIC18 Devices The PIC18 family of 8-bit devices include
s a group Ethernet PIC microcontrollers. The object of today’s lecture is
to use a PICDEM.net 2 Development Board to send packets over the internet.
TCP and UDP protocols will be examined and put to work via code generated
by the XC8 C compiler.
X-ALT-DESC;FMTTYPE=text/html:This lecture
series\, taught by Fred Eady\, will focus on building embedded applicat
ions using Microchip's latest 8-bit software and hardware development tool
s. Hardware solutions presented in each lecture session will be supported
by Microchip's MPLAB X IDE and XC8 C compiler. Each lecture will feature a
mix of the latest Microchip 8-bit development tools and newly announced 8
-bit PIC microcontrollers. June 24 - Day 5: Ethernet Con
nectivity Using PIC18 Devices The PIC18 family of 8-bit
devices includes a group Ethernet PIC microcontrollers. The object of tod
ay&rsquo\;s lecture is to use a PICDEM.net 2 Development Board to send pac
kets over the internet. TCP and UDP protocols will be examined and put to
work via code generated by the XC8 C compiler.
CATEGORIES:Education
UID:20160609T1900240Z-511394-894@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160628T150000
DTEND;TZID=America/Sao_Paulo:20160628T160000
SUMMARY:Fast FPGA Design Debug Using Simulator-like Visibility into FPGA Ha
rdware Operation
DESCRIPTION:The increasing size and complexity of FPGA designs are increasi
ng\, driving a need for in-system FPGA debug. The traditional node-based F
PGA debug tools are no longer able to achieve the requirements of today’s
FPGA designs. Designers are in increasing need of FPGA debug tools that ac
celerate debug through incremental debug and RTL mapping\, with much highe
r visibility. This webinar introduces the Identify® RTL debugger and its
ability to instrument RTL HDL and while still at the RT-Level\, debug the
implemented FPGA on live\, running hardware. Designers are able to designa
te sample triggers\, navigate the design graphically\, and mark signals in
the RTL to serve as probes. After synthesis\, the results are viewed thro
ugh a number of options: RTL source code\, the HDL Analyst® RTL View or th
ird-party waveform viewer\, which ensures RTL-to-implementation equivalenc
e and correct operation of the FPGA design. FPGA designers will learn how
to use Identify RTL debugger to efficiently debug and verify their design
in hardware\, similar to simulation – only much faster and with in-system
stimuli. Attend this webinar to learn about: How and where to instrument H
ow to set triggers and breakpoints to pre-instrument a FPGA design Techniq
ues to ensure fault capture How to use debug data to resolve design faults
Who should attend? All engineers/managers involved in FPGA designs target
ing end market applications in military\, aerospace\, automotive\, industr
ial\, you don’t want to miss this informative webinar Register
X-ALT-DESC;FMTTYPE=text/html: T
he increasing size and complexity of FPGA designs are increasing\, driving
a need for in-system FPGA debug. \;The traditional node-based FPGA de
bug tools are no longer able to achieve the requirements of today&rsquo\;s
FPGA designs. \;Designers are in increasing need of FPGA debug tools
that accelerate debug through incremental debug and RTL mapping\, with muc
h higher visibility. \; This webinar introduces the Identify®\; RTL debugger and its ability to instrument RTL HDL and whi
le still at the RT-Level\, debug the implemented FPGA on live\, running ha
rdware. Designers are able to designate sample triggers\, navigate the des
ign graphically\, and mark signals in the RTL to serve as probes. After sy
nthesis\, the results are viewed through a number of options: RTL source c
ode\, the HDL Analyst®\; RTL View or third-party waveform vi
ewer\, which ensures RTL-to-implementation equivalence and correct operati
on of the FPGA design. FPGA designers will learn how to use Identify RTL d
ebugger to efficiently debug and verify their design in hardware\, similar
to simulation &ndash\; only much faster and with in-system stimuli.
Attend this webinar to learn about: - How
and where to instrument
- How to set triggers and breakpoints to
pre-instrument a FPGA design
- Techniques to ensure fault capture<
/li>
- How to use debug data to resolve design faults
<
strong>Who should attend? All engineers/managers involved in
FPGA designs targeting end market applications in military\, aerospace\,
automotive\, industrial\, you don&rsquo\;t want to miss this informative w
ebinar  \; Register
CATEGORIES:Education
UID:20160609T1905130Z-511394-895@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160615T160000
DTEND;TZID=America/Sao_Paulo:20160615T170000
SUMMARY:Getting the Most from ADI PLL Products
DESCRIPTION:Sponsored by Analog Devices and Arrow. This webcast is an overv
iew of Phase Locked Loop (PLL) Technology and Applications. With over 80 u
nique PLLs and PLLVCOs\, covering frequencies from DC to 18 GHz – ADI has
the widest frequency range in the industry. We have parts for every applic
ation that can be used in multiple systems\; this reduces your time to mar
ket and reduces your cost\, while meeting your high performance needs. Att
endees Will Learn: - How do PLL's work? - Which PLL should I use? - How do
I get the most out of a PLL? Who should attend: Radio Frequency and Micro
wave Engineers. Engineers in the Communications\, Instrumentation or Milit
ary / Aerospace Markets Register now for this webcast
X-ALT-DESC;FMTTYPE=text/html:Sponsored by Analog
Devices and Arrow. This webcast is an overview of Phase Locked Lo
op (PLL) Technology and Applications. With over 80 unique PLLs and PLLVCOs
\, covering frequencies from DC to 18 GHz &ndash\; ADI has the widest freq
uency range in the industry. We have parts for every application that can
be used in multiple systems\; this reduces your time to market and reduces
your cost\, while meeting your high performance needs. Attendees Will Learn: - How do PLL's work? - Which
PLL should I use? - How do I get the most out of a PLL? Who should attend: Radio Frequency and
Microwave Engineers. Engineers in the Communications\, Instrumentation or
Military / Aerospace Markets
span>
CATEGORIES:Education
UID:20160609T1906450Z-511394-896@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160615T170000
DTEND;TZID=America/Sao_Paulo:20160615T180000
SUMMARY:Advanced Passive Thermal Management Technologies for Power Electron
ics
DESCRIPTION:Components housed within power electronic devices continue to s
hrink in size and increase in power. This trend results in high heat flux
components integrated into small packages\, driving the need for enhanced
thermal management to ensure high performance and long life for the device
s. This Webinar will present a series of advanced passive technologies use
d to optimize thermal performance\, while reducing noise and power consump
tion. We will present examples of real industrial thermal challenges and d
escribe the solution through integration of these advanced passive thermal
technologies including: • Heat Pipes/Thermosyphons • Loop Thermos
yphons • Enclosure Coolers This presentation will be of value to m
echanical\, thermal\, and design engineers who are responsible for packagi
ng of electronic devices\, as well as anyone interested in advanced passiv
e thermal management technologies. For more information and to register\,
click here.
X-ALT-DESC;FMTTYPE=text/html:Components housed within power electronic d
evices continue to shrink in size and increase in power. This trend result
s in high heat flux components integrated into small packages\, driving th
e need for enhanced thermal management to ensure high performance and long
life for the devices.
This Webinar will present a series of
advanced passive technologies used to optimize thermal performance\, while
reducing noise and power consumption. We will present examples of real in
dustrial thermal challenges and describe the solution through integration
of these advanced passive thermal technologies including:  \; |  \; | &bull\; | Heat Pipes/Thermosyphons |  \;<
/td> |  \; | &bull\; | Loop Thermosyp
hons |  \; |  \; |
&bull\; | Enclosure Coolers |  \; |  \;<
/td> | This presentation will be of value to
mechanical\, thermal\, and design engineers who are responsible for packag
ing of electronic devices\, as well as anyone interested in advanced passi
ve thermal management technologies.
For more information and
to register\, click here.
CATEGORIES:General
UID:20160609T1909310Z-511394-897@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160616T160000
DTEND;TZID=America/Sao_Paulo:20160616T170000
SUMMARY:Performing Multi-lane Oscilloscope Test and Analysis
DESCRIPTION:Why this webcast is important Achieve greater insight into comp
lex designs that require capture of up to 40 channels simultaneously in a
single timebase. Connect and tightly time-correlate up to 10 InfiniiVision
X-Series oscilloscopes\, using new MultiScope application software. This
webcast will provide an overview of the MultiScope capability as well as c
over examples on how to easily capture and analyze signals in applications
that require wide\, simultaneous signal detail viewing. Who should attend
Design and test engineers that need simultaneous capture of more than 4 c
hannels. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is
important A
chieve greater insight into complex designs that require capture of up to
40 channels simultaneously in a single timebase. Connect and
tightly time-correlate up to 10 InfiniiVision X-Series oscilloscopes\, usi
ng new MultiScope application software. This webcas
t will provide an overview of the MultiScope capability as well as cover e
xamples on how to easily capture and analyze signals in applications that
require wide\, simultaneous signal detail viewing. Who should attend Design and test engineers t
hat need simultaneous capture of more than 4 channels.
CATEGORIES:Education
UID:20160609T1911520Z-511394-898@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160614T180000
DTEND;TZID=America/Sao_Paulo:20160614T190000
SUMMARY:Webcast on Wind: Doing Business in Brazil - in collaboration with G
WEC\, Global Wind Energy Council
DESCRIPTION:Élbia Gannoum\, CEO of the Brazilian Wind Energy Association\,
ABEEólica\, will provide an overview of the status of the market and will
talk about what challenges lay ahead for the Brazilian wind sector. • The
growth trends over the past few years • Projections for wind in the coming
years • The financing landscape • The upcoming auctions in 2016 • Challen
ges facing the industry Register Now – Watch June 14\, 2016 at 8 AM CDT /
3 PM CEST / 5 PM GST / 9 PM HKT Need More Details?
X-ALT-DESC;FMTTYPE=text/html:É\;lbi
a Gannoum\, CEO of the Brazilian Wind Energy Association\, ABEEó\;li
ca\, will provide an overview of the status of the market and will talk ab
out what challenges lay ahead for the Brazilian wind sector. &bull\; | The growth trends o
ver the past few years | &bull\; | <
td style='padding-bottom: 3px\;' valign='top'>Projections for wind in the
coming years &bull\; | The financing landscape | <
tr> &bull\; | The upcoming auctions
in 2016 | &bull\; | Challenges facing the industry | Register Now &n
dash\; Watch June 14\, 2016 at 8 AM CDT / 3 PM CEST / 5 PM GST / 9 PM HKT<
/strong>
Need More Details?
CATEGORIES:Education
UID:20160609T1913560Z-511394-899@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160628T170000
DTEND;TZID=America/Sao_Paulo:20160628T180000
SUMMARY:Materials Matter - Selecting the Right Materials for Your Manufactu
ring Process and Application - Day 1
DESCRIPTION:Material selection in an important manufacturing decision. When
treated as step one in the digital manufacturing process\, custom prototy
pes and low-volume production parts can be created with more efficiency an
d better results targeting your application and process. In this education
al course taught by industry expert and teacher\, Dr. David Prawel\, you’l
l learn how to select the right material for your process\, application\,
and market segment. Materials reviewed and compared will include metals\,
plastics\, and liquid silicone rubber. With special attention paid to the
automotive\, medical\, aerospace\, and electronics industries\, attributes
of materials will be discussed including biocompatibility\, durability\,
temperature\, and water resistance. June 28 - Day 1: Which materials? Whic
h processes? Sorting Through the Choices We will take a comprehensive look
at the wide range of popular metals\, plastics and polymeric materials th
at are used in additive manufacturing (aka 3D printing)\, CNC machining an
d injection molding. Your choice of the best material for a particular pro
ject is often a very complex decision\, and depends on many important cons
iderations which differ by manufacturing process\, application and industr
y. We will give you clear\, concise guidelines to help guide you in your m
aterial selection process.
X-ALT-DESC;FMTTYPE=text/html:Material selection in an important manufact
uring decision. When treated as step one in the digital manufacturing proc
ess\, custom prototypes and low-volume production parts can be created wit
h more efficiency and better results targeting your application and proces
s.
In this educational course taught by industry expert and tea
cher\, Dr. Dav
id Prawel\, you&rsquo\;ll learn how to select the right materia
l for your process\, application\, and market segment. Materials reviewed
and compared will include metals\, plastics\, and liquid silicone rubber.
With special attention paid to the automotive\, medical\, aerospace\, and
electronics industries\, attributes of materials will be discussed includi
ng biocompatibility\, durability\, temperature\, and water resistance.
- June 28 - Day 1: Whic
h materials? Which processes? Sorting Through the Choices
We will take a comprehensive look at the wide range of popular metals\,
plastics and polymeric materials that are used in additive manufacturing
(aka 3D printing)\, CNC machining and injection molding. Your choice of th
e best material for a particular project is often a very complex decision\
, and depends on many important considerations which differ by manufacturi
ng process\, application and industry. We will give you clear\, concise gu
idelines to help guide you in your material selection process.
CATEGORIES:Education
UID:20160616T1704130Z-511394-900@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160629T170000
DTEND;TZID=America/Sao_Paulo:20160629T180000
SUMMARY:Materials Matter - Selecting the Right Materials for Your Manufactu
ring Process and Application - Day 2
DESCRIPTION:Material selection in an important manufacturing decision. When
treated as step one in the digital manufacturing process\, custom prototy
pes and low-volume production parts can be created with more efficiency an
d better results targeting your application and process. In this education
al course taught by industry expert and teacher\, Dr. David Prawel\, you’l
l learn how to select the right material for your process\, application\,
and market segment. Materials reviewed and compared will include metals\,
plastics\, and liquid silicone rubber. With special attention paid to the
automotive\, medical\, aerospace\, and electronics industries\, attributes
of materials will be discussed including biocompatibility\, durability\,
temperature\, and water resistance. June 29 - Day 2: How Materials’ Charac
teristics Drive the Best Material Choices Material selection decisions can
be made much more effectively with some knowledge of the materials\, beha
vior and properties. We will present key decision factors that should guid
e your material selection proces and discuss the various relevant properti
es of the materials\, while comparing and contrasting types of materials f
or particular manufacturing processes\, applications and industries. We’ll
look at critical attributes such as biocompatibility\, temperature durabi
lity\, and water resistance.
X-ALT-DESC;FMTTYPE=text/html:Material selection in an important manufact
uring decision. When treated as step one in the digital manufacturing proc
ess\, custom prototypes and low-volume production parts can be created wit
h more efficiency and better results targeting your application and proces
s.
In this educational course taught by industry expert and tea
cher\, Dr. Dav
id Prawel\, you&rsquo\;ll learn how to select the right materia
l for your process\, application\, and market segment. Materials reviewed
and compared will include metals\, plastics\, and liquid silicone rubber.
With special attention paid to the automotive\, medical\, aerospace\, and
electronics industries\, attributes of materials will be discussed includi
ng biocompatibility\, durability\, temperature\, and water resistance.
- June 29 - Day 2: How
Materials&rsquo\; Characteristics Drive the Best Material Choices
Material selection decisions can be made much more effectively
with some knowledge of the materials\, behavior and properties. We will pr
esent key decision factors that should guide your material selection proce
s and discuss the various relevant properties of the materials\, while com
paring and contrasting types of materials for particular manufacturing pro
cesses\, applications and industries. We&rsquo\;ll look at critical attrib
utes such as biocompatibility\, temperature durability\, and water resista
nce.
CATEGORIES:Education
UID:20160616T1705010Z-511394-901@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160630T170000
DTEND;TZID=America/Sao_Paulo:20160630T180000
SUMMARY:Materials Matter - Selecting the Right Materials for Your Manufactu
ring Process and Application - Day 3
DESCRIPTION:Material selection in an important manufacturing decision. When
treated as step one in the digital manufacturing process\, custom prototy
pes and low-volume production parts can be created with more efficiency an
d better results targeting your application and process. In this education
al course taught by industry expert and teacher\, Dr. David Prawel\, you’l
l learn how to select the right material for your process\, application\,
and market segment. Materials reviewed and compared will include metals\,
plastics\, and liquid silicone rubber. With special attention paid to the
automotive\, medical\, aerospace\, and electronics industries\, attributes
of materials will be discussed including biocompatibility\, durability\,
temperature\, and water resistance. June 30 - Day 3: The Impact of Materia
l Choices on the Core Business of Manufacturing Material choices also help
determine ideal manufacturing processes and sequences. In a bigger pictur
e\, they can also spell success or failure of mission-critical processes l
ike lean\, quality validation and certification. We will take a detailed l
ook at how material choices can affect your development and manufacturing
processes overall\, with special attention paid to various aspects of typi
cal development and manufacturing processes in automotive\, medical\, aero
space\, and electronics industries.
X-ALT-DESC;FMTTYPE=text/html:Material selection in an important manufact
uring decision. When treated as step one in the digital manufacturing proc
ess\, custom prototypes and low-volume production parts can be created wit
h more efficiency and better results targeting your application and proces
s.
In this educational course taught by industry expert and tea
cher\, Dr. Dav
id Prawel\, you&rsquo\;ll learn how to select the right materia
l for your process\, application\, and market segment. Materials reviewed
and compared will include metals\, plastics\, and liquid silicone rubber.
With special attention paid to the automotive\, medical\, aerospace\, and
electronics industries\, attributes of materials will be discussed includi
ng biocompatibility\, durability\, temperature\, and water resistance.
CATEGORIES:Education
UID:20160616T1705500Z-511394-902@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160629T160000
DTEND;TZID=America/Sao_Paulo:20160629T170000
SUMMARY:Meeting Measurement Challenges of Agile Complex Wireless Signals
DESCRIPTION:Why this webcast is important: The design process of wireless s
ystems\, subsystems and components necessitates a comprehensive test strat
egy that includes both optimization and validation. The most productive ap
proach that is cost efficient and will minimize the time-to-market must co
mbine quick and easy standard-compliance tests with more thorough analysis
and troubleshooting. With the latest standards pushing the limits of devi
ce bandwidth\, adjacent channel and spurious performance\, and complexity\
, choosing the right test instruments has become essential for the current
project as well as future ones. During this webcast\, we will outline so
me of the challenges that wireless device and system designers face\, and
how this affects the signal analyzer used to test these devices. Technique
s to test the limits of a device will be discussed\, including how to opti
mize the analyzer for harmonics\, intermodulation\, spectrum emissions mas
k\, spurious and adjacent channel measurements. Additionally\, we will sho
w some advanced tools for troubleshooting and design optimization. Finally
\, throughout the webcast\, we will use modern enhancements and techniques
to demonstrate that a mid-range analyzer can be used for high performance
wireless applications. Who should attend: Wireless system\, subsystem and
component design and test engineers and engineering managers interested i
n learning techniques for keeping up with latest standards pushing the li
mits of device bandwidth\, adjacent channel and spurious performance\, and
complexity. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Why th
is webcast is important: The design process of wireless sys
tems\, subsystems and components necessitates a comprehensive test strateg
y that includes both optimization and validation. The most productive appr
oach that is cost efficient and will minimize the time-to-market must comb
ine quick and easy standard-compliance tests with more thorough analysis a
nd troubleshooting. With the latest standards pushing the limits of device
bandwidth\, adjacent channel and spurious performance\, and complexity\,
choosing the right test instruments has become essential for the current p
roject as well as future ones. During \; this webcast\, w
e will outline some of the challenges that wireless device and system desi
gners face\, and how this affects the signal analyzer used to test these d
evices. Techniques to test the limits of a device will be discussed\, incl
uding how to optimize the analyzer for harmonics\, intermodulation\, spect
rum emissions mask\, spurious and adjacent channel measurements. Additiona
lly\, we will show some advanced tools for troubleshooting and design opti
mization. Finally\, throughout the webcast\, we will use modern enhancemen
ts and techniques to demonstrate that a mid-range analyzer can be used for
high performance wireless applications. Who should attend: Wireless system\, subsystem
and component design and test engineers and engineering managers intereste
d in learning techniques for keeping up with \; latest standards pushi
ng the limits of device bandwidth\, adjacent channel and spurious performa
nce\, and complexity.
CATEGORIES:Education
UID:20160616T1708340Z-511394-903@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160622T143000
DTEND;TZID=America/Sao_Paulo:20160622T163000
SUMMARY:How to create a cloud-connected sensor with TI LaunchPad™ developme
nt kits & the PubNub cloud
DESCRIPTION:In this technical webinar\, we’ll show you how to create a Wi-F
i internet-connected\, moisture and ambient light sensor node using the MS
P432™ LaunchPad™ development kit from Texas Instruments\, the CC3100 Wi-Fi
BoosterPack™ plug-in module and various sensors from Seeed Studio. We’ll
then publish that sensor data to PubNub’s Data Stream Network and show you
how to easily produce live visualizations of the sensor data using PubNub
’s open source Java Script framework\, EON. Date: Wed\, Jun 22\, 2016
Time: 11:30 AM - 1:30 PM CDT Topic: Engineering Presenter: Adrian Fern
andez Register now Want to get a head start? Visit www.ti.com/laun
chpad to learn more about the TI LaunchPad™ development ecosystem of modul
ar hardware kits\, scalable software solutions\, and community partners.
X-ALT-DESC;FMTTYPE=text/html:In this technical webinar\, we&rsquo\;ll sh
ow you how to create a Wi-Fi internet-connected\, moisture and ambient lig
ht sensor node using the MSP432&trade\; LaunchPad&trade\; development kit
from Texas Instruments\, the CC3100 Wi-Fi BoosterPack&trade\; plug-in modu
le and various sensors from Seeed Studio. We&rsquo\;ll then publish that s
ensor data to PubNub&rsquo\;s Data Stream Network and show you how to easi
ly produce live visualizations of the sensor data using PubNub&rsquo\;s op
en source Java Script framework\, EON.
 \; |
Date: Wed\, Jun 22\, 2016 |  \; Time: 11:30 AM - 1:30 PM
CDT |  \; | Topic:<
/strong> Engineering |  \; | Presenter: Adrian Fernandez |  
\; | |  \; |
| | <
tr>  \; | Want to get a head start? Visit ww
w.ti.com/launchpad to learn more about the TI LaunchPad&trade\; developmen
t ecosystem of modular hardware kits\, scalable software solutions\, and c
ommunity partners. |  \; | <
/table>  \;
CATEGORIES:Education
UID:20160616T1711260Z-511394-904@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160622T170000
DTEND;TZID=America/Sao_Paulo:20160622T180000
SUMMARY:IoT Mass Market Adoption
DESCRIPTION:This webcast analyzes how ease of use and interoperability impa
ct the adoption rate of connected products and looks at the new ways compa
nies are addressing ease of use and interoperability across converging eco
systems to create a seamless experience for consumers. But interoperabilit
y with a broader ecosystem of products and services can add significant va
lue as well. Appliances are unique in that interoperability may drive more
value that stand-alone capabilities. By considering the appliance as part
of an overall process in which the product is used\, more comprehensive o
r whole product solutions can be developed. This panel will discuss: Wha
t interoperability technologies can help manufacturers right now? What sta
ndards are going to bring ecosystems together? Will the market consolidate
on standards soon? Why not? Can't attend live? Register to view the webin
ar on-demand!
X-ALT-DESC;FMTTYPE=text/html:This
webcast analyzes how ease of use and interoperability impact the adoption
rate of connected products and looks at the new ways companies are addres
sing ease of use and interoperability across converging ecosystems to crea
te a seamless experience for consumers. But interoperability with a broader ecosystem of prod
ucts and services can add significant value as well. Appliances are unique
in that interoperability may drive more value that stand-alone capabiliti
es. By considering the appliance as part of an overall process in which th
e product is used\, more comprehensive or whole product solutions can be d
eveloped.  \; |
This panel will discuss:
- What interoperability technologies can help manufactur
ers right now?
- What standards are going to bring ecosystems toge
ther?
- Will the market consolidate on standards soon? Why not?
Can't attend live? Regis
ter to view the webinar on-demand! | | <
td style='padding-bottom: 20px\;' align='center'>
 \;
CATEGORIES:Education
UID:20160616T1713490Z-511394-905@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160712T170000
DTEND;TZID=America/Sao_Paulo:20160712T180000
SUMMARY:Start Your App Development in Minutes by Eliminating Common Embedde
d Linux Headaches
DESCRIPTION: In the embedded space\, developers need time to learn how to
use\, build\, and run Linux on embedded platforms. And\, it takes time\, e
ffort\, and money to continuously maintain a Linux distribution with suppo
rt\, patches\, and security vulnerability protection for your OS and appli
cation code. What if your team could instead focus only on writing differe
ntiating applications? Wind River® Pulsar™ Linux transforms application de
velopment by providing a turnkey operating system that runs out of the box
on select embedded platforms. At a recent workshop by Wind River\, more t
han 80% of attendees stated that Pulsar and Wind River Helix™ will help th
em save time and money on the hardware enablement process by leveraging it
s quick prototyping capabilities. In this web seminar\, Wind River will wa
lk you through simplified embedded application development\, leveraging so
ftware designed to run out of the box on embedded hardware platforms. Join
to learn: How to leverage open source technologies on purpose-‐built har
dware without sacrificing the reliability and performance of hardware-‐ce
ntric solutions How to access live updates providing security alerts and t
housands of fixes How to develop in the cloud or locally\, running any app
lication from any ecosystem directly on the target devices Speakers: Dav
ide Ricci\, Director\, Product Management\, Open Source Platform Marketing
\, Wind River Jeffrey Fortin\, Director\, Product Management\, Open Source
Platform Marketing\, Wind River Bryan Fletcher\, Technical Marketing Dire
ctor\, Avnet Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | I
n the embedded space\, developers need time to learn how to use\, build\,
and run Linux on embedded platforms. And\, it takes time\, effort\, and mo
ney to continuously maintain a Linux distribution with support\, patches\,
and security vulnerability protection for your OS and application code. W
hat if your team could instead focus only on writing differentiating appli
cations? Wind River®\; Pulsar&trade\; Linux transforms applicat
ion development by providing a turnkey operating system that runs out of t
he box on select embedded platforms. At a recent workshop by Wind River\,
more than 80% of attendees stated that Pulsar and Wind River Helix&trade\;
will help them save time and money on the hardware enablement process by
leveraging its quick prototyping capabilities. In this web seminar
\, Wind River will walk you through simplified embedded application develo
pment\, leveraging software designed to run out of the box on embedded har
dware platforms. Join to learn: - How to leverage ope
n source technologies on purpose-­\;‐built hardware without sacrificing
the reliability and performance of hardware-­\;‐centric solutions
- How to access live updates providing security alerts and thousands o
f fixes
- How to develop in the cloud or locally\, running any app
lication from any ecosystem directly on the target devices
 \; | Speakers: Davide Ricci\, Directo
r\, Product Management\, Open Source Platform Marketing\, Wind River Jeffr
ey Fortin\, Director\, Product Management\, Open Source Platform Marketing
\, Wind River Bryan Fletcher\, Technical Marketing Director\, Avnet <
p style='color: #222222\;'>Moderator: \; Brandon
Lewis\, OpenSystems Media | |  \;
CATEGORIES:Education
UID:20160616T1715340Z-511394-906@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160622T150000
DTEND;TZID=America/Sao_Paulo:20160622T160000
SUMMARY:Discover the STM32 with a free 32-bit Cortex-M0/0+ MCU Professional
Developer’s Package
DESCRIPTION:Learn the advantages of upgrading your designs with entry-level
and ultra-low-power STM32 microcontrollers using a professional developme
nt ecosystem Listen to a 1-hour webinar on the free 32-bit Cortex-M0
/0+ MCU Professional Developer’s Package. You will learn: how to upgrade
standard and ultra-low-power 8/16-bit MCU designs with the STM32F0/L0 seri
es of Cortex-M0/M0+ MCUs how to get started with your development using th
e STM32L0 Discovery kit\, STM32Cube firmware library and the free Keil MDK
-ARM for STM32F0 and STM32L0 (a complete professional IDE for STM32F0 and
STM32L0 MCUs) how to generate\, debug and run your first project using the
STM32CubeMX graphical software configuration tool to rapidly get your nex
t STM32 project off the ground. Talk directly to application experts who w
ill be available to answer your questions online. Mark your calendars a
nd join us on June 22nd at 12:00 PM CDT. REGISTER NOW! Webinar agenda (CDT
) 12:00 PM - 12:45 PM Presentation on the STM32F0/L0 microcontroller serie
s and the benefits of upgrading your design using the associated comprehen
sive development ecosystem Description of how to get started on your desig
n with our entry-level and ultra-low-power STM32 microcontrollers that red
uces development costs and shortens time-to-market How to use the STM32Cub
eMX to generate\, debug and run a project using the STM32L0 Discovery kit
12:45 PM - 1:00 PM Q&A session For more information and to register\, pl
ease click here.
X-ALT-DESC;FMTTYPE=text/html:Learn the advantages of upgrading y
our designs with entry-level and ultra-low-power STM32 microcontrollers us
ing a professional development ecosystem \; \; \; \; \
; \; Listen to a 1-hour webinar on the \;free 32-
bit Cortex-M0/0+ MCU Professional Developer&rsquo\;s Package. \; You w
ill learn: - how to upgrade standard and ultra-low-power 8/16
-bit MCU designs with the STM32F0/L0 series of Cortex-M0/M0+ MCUs
how to get started with your development using the STM32L0 Discovery kit
\, STM32Cube firmware library and the free Keil MDK-ARM for STM32F0 and ST
M32L0 (a complete professional IDE for STM32F0 and STM32L0 MCUs) - how to generate\, debug and run your first project using the STM32CubeMX
graphical software configuration tool to rapidly get your next STM32 proje
ct off the ground.
Talk directly to application experts wh
o will be available to answer your questions online. \; &
nbsp\; Mark your calendars and join us on \;June 22
nd at 12:00 PM CDT. REGISTER NOW!
Webinar a
genda (CDT) | 12:00 \;PM \;- \;12:45 PM | Presentation on the STM32F0/L0 microcontroller series and the benefits o
f upgrading your design using the associated comprehensive development eco
system - Description of how to get started on your design with our
entry-level and ultra-low-power STM32 microcontrollers that reduces devel
opment costs and shortens time-to-market
- How to use the STM32Cub
eMX to generate\, debug and run a project using the STM32L0 Discovery kit<
/li>
| 12:45 PM - 1
:00 PM \; \; | | For more informa
tion and to register\, please click here.
CATEGORIES:Education
UID:20160616T1717530Z-511394-907@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160624T180000
DTEND;TZID=America/Sao_Paulo:20160624T190000
SUMMARY:Integration of Wireless Radio Modules in Lighting Products
DESCRIPTION:Manufacturers entering the world of connected lighting have man
y technologies to choose from\; some are open standards and some are propr
ietary. Depending on the target country\, regulatory compliance of such pr
oducts has increasingly become challenging. This speaking engagement will
discuss and provide an overview of the compliance process of such products
with wireless radio modules\, and interoperability testing. It will also
address the dos and don’ts\, thereby educating manufacturers\, engineers\,
and designers about various requirements. Register Now! What You'll L
earn: Regulatory Compliance Process Do's and Don'ts Interoperability Tes
ting based on Application Register Today!
X-ALT-DESC;FMTTYPE=text/html:Manufacturers entering the world of connected lighting have man
y technologies to choose from\; some are open standards and some are propr
ietary. Depending on the target country\, regulatory compliance of such pr
oducts has increasingly become challenging. This speaking engagement will
discuss and provide an overview of the compliance process of such products
with wireless radio modules\, and interoperability testing. It will also
address the dos and don&rsquo\;ts\, thereby educating manufacturers\, engi
neers\, and designers about various requirements.
Register Now!  \;  \; What You'll <
a href='http://ea.ecn5.com/Clicks/R2dVaUs1WS85N3lYVnhTa0V1TVBzb3MzU2tKNEpJ
cXpiV1duVld3WTNVLy9QcTRkRDF6Qm1UL3FKcGlwdjg2aA%3d%3d'>Learn:  \; Regulatory Compli
ance Process - Do's and Don'ts
- Interoperability Testing based on Application
 \; Register Today!  \;  \;
CATEGORIES:Education
UID:20160616T1721140Z-511394-908@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160628T170000
DTEND;TZID=America/Sao_Paulo:20160628T180000
SUMMARY:How To Use Z-Wave S2 for Unparalleled Smart Home IoT Security
DESCRIPTION:Overview: Developing secure products for the Smart Home is a
challenge which can include security attack threats from hackers\, finding
available cryptographic computation power and sufficient network bandwidt
h\, and code space\, firewall policies\, efficient battery operation and m
ore. Attend this webinar to learn how the leading wireless technology in t
he Smart Home IoT space\, Sigma Designs’ Z-Wave\, has made a quantum leap
in encryption and security for both devices and gateways with the new S2 s
ecurity protocol. In this on-line presentation we will discuss the potenti
al threats to Smart Home IoT devices and controllers and how Z-Wave’s S2 p
rotocol can mitigate or eliminate those threats for developers. Attendees
will learn: Common security threats for Smart Home IoT systems How Ellipt
ical Curve Diffie-Hellman asymmetrical key exchange is utilized to minimiz
e risk of compromise and adapt to an evolving threat environment Secure TL
S 1.1 tunneling for cloud protection How Z-Wave’s S2 protocol minimizes po
wer requirements making it ideal for battery powered IoT end devices Who s
hould attend? IoT gateway and device designers and cloud-service providers
» Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview: <
table border='0' width='400' cellspacing='0' cellpadding='0'>  \; | Developing secure products for the Smart Home is a challenge which can
include security attack threats from hackers\, finding available cryptogr
aphic computation power and sufficient network bandwidth\, and code space\
, firewall policies\, efficient battery operation and more. Attend thi
s webinar to learn how the leading wireless technology in the Smart Home I
oT space\, Sigma Designs&rsquo\; Z-Wave\, has made a quantum leap in encry
ption and security for both devices and gateways with the new S2 security
protocol. In this on-line presentation we will discuss the potential threa
ts to Smart Home IoT devices and controllers and how Z-Wave&rsquo\;s S2 pr
otocol can mitigate or eliminate those threats for developers. |
Attendees will learn:
strong> | - Common security threats
for Smart Home IoT systems \;
- How Elliptical Curve Diffie-He
llman asymmetrical key exchange is utilized to minimize risk of compromise
and adapt to an evolving threat environment
- Secure TLS 1.1 tunn
eling for cloud protection
- How Z-Wave&rsquo\;s S2 protocol minim
izes power requirements making it ideal for battery powered IoT end device
s
| Wh
o should attend? | IoT gateway and de
vice designers and cloud-service providers | »\; Register Today | &nb
sp\;
CATEGORIES:Education
UID:20160616T1723200Z-511394-909@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160621T170000
DTEND;TZID=America/Sao_Paulo:20160621T180000
SUMMARY:So you think developing an ARM®-based IoT chip needs to be complex
or expensive? Think again.
DESCRIPTION:As we move into an era where intelligence is being added to eve
n the simplest of products\, we are seeing an increased demand for custom
SoCs. These custom SoCs typically integrate a processor with analog functi
ons to reduce the system BoM and power requirements to increase functional
ity and reliability. This ARM webinar will look at the benefits that a cus
tom SoC can bring to a product. It will also explore how custom SoC develo
pment has become easier and economically viable for even the smallest of c
ompanies. Is it really possible to get to a test chip for less than $200k?
Yes! Attend to learn how. Join Tim Menasveta from ARM to hear about the D
esignStart program. Register nowÈ
X-ALT-DESC;FMTTYPE=text/html:As we move into an era where intelligence i
s being added to even the simplest of products\, we are seeing an increase
d demand for custom SoCs. These custom SoCs typically integrate a processo
r with analog functions to reduce the system BoM and power requirements to
increase functionality and reliability. This ARM webinar will loo
k at the benefits that a custom SoC can bring to a product. It will also e
xplore how custom SoC development has become easier and economically viabl
e for even the smallest of companies. Is it really possible to get
to a test chip for less than $200k? Yes! Attend to learn how. Joi
n Tim Menasveta from ARM to hear about the DesignStart program.  \; | Register nowÈ\; | <
/tbody>
CATEGORIES:Education
UID:20160616T1725380Z-511394-910@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160629T150000
DTEND;TZID=America/Sao_Paulo:20160629T160000
SUMMARY:Enabling Machines to See with Efficient Embedded Vision Processors
DESCRIPTION:The embedding of vision into SoCs\, giving products the ability
to recognize objects and gestures and to visually track things\, will cha
nge our world. These vision capabilities are being driven by the availabil
ity of high-performance processors\, improvements in vision algorithms and
advances in process technology. The challenge to implementing embedded vi
sion in these applications is to provide the processing capability at a po
wer and cost that is within the available power budget while maintaining t
he flexibility to address the diverse and evolving market requirements. Th
is webinar will look at a new vision processor family with advanced vision
capabilities that enable powerful and flexible vision solutions for your
next-generation SoCs. Attend this webinar to learn about: The use of Neu
ral Networks to increase the accuracy and efficiency of your next vision d
esign How Synopsys EV6x Vision processors address next-generation performa
nce and power requirements for vision applications How the EV Processors'
architecture enables you to achieve superior performance and power efficie
ncy compared to other available vision processors How to simplify vision a
pplication software development using open source tools with Synopsys Meta
Ware compiler Who should attend? SoC Hardware design engineers\, Vision de
sign engineers\, Software programmers\, Engineering managers REGISTER
X-ALT-DESC;FMTTYPE=text/html: T
he embedding of vision into SoCs\, giving products the ability to recogniz
e objects and gestures and to visually track things\, will change our worl
d. These vision capabilities are being driven by the availability of high-
performance processors\, improvements in vision algorithms and advances in
process technology. The challenge to implementing embedded vision in thes
e applications is to provide the processing capability at a power and cost
that is within the available power budget while maintaining the flexibili
ty to address the diverse and evolving market requirements. This webinar w
ill look at a new vision processor family with advanced vision capabilitie
s that enable powerful and flexible vision solutions for your next-generat
ion SoCs. \; \; Attend this webinar to learn about
: - The use of Neural Networks to increase the accur
acy and efficiency of your next vision design
- How Synopsys EV6x
Vision processors address next-generation performance and power requiremen
ts for vision applications
- How the EV Processors' architecture e
nables you to achieve superior performance and power efficiency compared t
o other available vision processors
- How to simplify vision appli
cation software development using open source tools with Synopsys MetaWare
compiler
Who should attend? SoC Har
dware design engineers\, Vision design engineers\, Software programmers\,
Engineering managers  \; REGISTER
CATEGORIES:Education
UID:20160622T1719470Z-511394-911@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160630T140000
DTEND;TZID=America/Sao_Paulo:20160630T150000
SUMMARY:Digital control of power supplies – a new approach with XMC™
DESCRIPTION:Digital power control of switched mode power supplies is a fiel
d in expansion. New functionalities like communication\, firmware update\,
house-keeping or more advance control of power supplies\, force engineers
to introduce more often microcontrollers like Infineon's XMC™. It can han
dle both\, full digital control of the power supply and all other importan
t house-keeping functions. In this webinar\, we will have a closer look at
the XMC™ approach and\, in particular\, how to get started quickly into d
igital control - thanks to a new evaluation kit based on a low voltage buc
k converter: XMC™ Digital Power Explorer Attendees will learn Digital cont
rol of power supplies XMC™ Digital Power Explorer boards High resolution P
WM Infineon's XMC™ portfolio addressing SMPS Infineon's XMC™ toolchain for
developing digital control applications Who should attend? Application E
ngineers\, Power Supply Designers\, Product Marketing\, Technical Marketin
g\, Sales Organization REGISTER
X-ALT-DESC;FMTTYPE=text/html: D
igital power control of switched mode power supplies is a field in expansi
on. New functionalities like communication\, firmware update\, house-keepi
ng or more advance control of power supplies\, force engineers to introduc
e more often microcontrollers like Infineon's XMC&trade\;. It can handle b
oth\, full digital control of the power supply and all other important hou
se-keeping functions. In this webinar\, we will have a closer look at the
XMC&trade\; approach and\, in particular\, how to get started quickly into
digital control - thanks to a new evaluation kit based on a low voltage b
uck converter: XMC&trade\; Digital Power Explorer Attendee
s will learn - Digital control of power supplies
- XMC&trade\; Digital Power Explorer boards
- High resolution
PWM
- Infineon's XMC&trade\; portfolio addressing SMPS
-
Infineon's XMC&trade\; toolchain for developing digital control applicatio
ns
Who should attend? \; Applic
ation Engineers\, Power Supply Designers\, Product Marketing\, Technical M
arketing\, Sales Organization  \; REGISTER
CATEGORIES:Education
UID:20160622T1721360Z-511394-912@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160728T170000
DTEND;TZID=America/Sao_Paulo:20160728T180000
SUMMARY:Fast FPGA Design Debug Using Simulator-like Visibility into FPGA Ha
rdware Operation
DESCRIPTION:The increasing size and complexity of FPGA designs are increasi
ng\, driving a need for in-system FPGA debug. The traditional node-based F
PGA debug tools are no longer able to achieve the requirements of today’s
FPGA designs. Designers are in increasing need of FPGA debug tools that ac
celerate debug through incremental debug and RTL mapping\, with much highe
r visibility. This webinar introduces the Identify® RTL debugger and its
ability to instrument RTL HDL and while still at the RT-Level\, debug the
implemented FPGA on live\, running hardware. Designers are able to designa
te sample triggers\, navigate the design graphically\, and mark signals in
the RTL to serve as probes. After synthesis\, the results are viewed thro
ugh a number of options: RTL source code\, the HDL Analyst® RTL View or th
ird-party waveform viewer\, which ensures RTL-to-implementation equivalenc
e and correct operation of the FPGA design. FPGA designers will learn how
to use Identify RTL debugger to efficiently debug and verify their design
in hardware\, similar to simulation – only much faster and with in-system
stimuli. Attend this webinar to learn about: How and where to instrument H
ow to set triggers and breakpoints to pre-instrument a FPGA design Techniq
ues to ensure fault capture How to use debug data to resolve design faults
Who should attend? All engineers/managers involved in FPGA designs target
ing end market applications in military\, aerospace\, automotive\, industr
ial\, you don’t want to miss this informative webinar REGISTER
X-ALT-DESC;FMTTYPE=text/html: T
he increasing size and complexity of FPGA designs are increasing\, driving
a need for in-system FPGA debug. \;The traditional node-based FPGA de
bug tools are no longer able to achieve the requirements of today&rsquo\;s
FPGA designs. \;Designers are in increasing need of FPGA debug tools
that accelerate debug through incremental debug and RTL mapping\, with muc
h higher visibility. \; This webinar introduces the Identify®\; RTL debugger and its ability to instrument RTL HDL and whi
le still at the RT-Level\, debug the implemented FPGA on live\, running ha
rdware. Designers are able to designate sample triggers\, navigate the des
ign graphically\, and mark signals in the RTL to serve as probes. After sy
nthesis\, the results are viewed through a number of options: RTL source c
ode\, the HDL Analyst®\; RTL View or third-party waveform vi
ewer\, which ensures RTL-to-implementation equivalence and correct operati
on of the FPGA design. FPGA designers will learn how to use Identify RTL d
ebugger to efficiently debug and verify their design in hardware\, similar
to simulation &ndash\; only much faster and with in-system stimuli.
Attend this webinar to learn about: - How
and where to instrument
- How to set triggers and breakpoints to
pre-instrument a FPGA design
- Techniques to ensure fault capture<
/li>
- How to use debug data to resolve design faults
<
strong>Who should attend? All engineers/managers involved in
FPGA designs targeting end market applications in military\, aerospace\,
automotive\, industrial\, you don&rsquo\;t want to miss this informative w
ebinar  \; REGISTER
CATEGORIES:Education
UID:20160622T1723220Z-511394-913@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160623T170000
DTEND;TZID=America/Sao_Paulo:20160623T180000
SUMMARY:Going to Extremes with Connectors
DESCRIPTION:Extreme temperatures\, humid or wet conditions\, situations in
which compromised equipment or failure is not an option. It sounds like an
action movie\, but it's a reality for vast numbers of engineers around th
e world on a daily basis. When this hits the fan(belt)\, the best you can
do is control the controllables and make sure your equipment\, and connect
ors\, are up to task. You will learn: • Thoughts on choosing the right r
ugged connector for your equipment design taking functionality\, manufactu
ring costs\, durability and reliability into account • What materials make
the best rugged connector housing • Size matters - bigger isn't always be
tter • Know your application environment and risk
X-ALT-DESC;FMTTYPE=text/html:Extreme temperatures\, humid or wet conditi
ons\, situations in which compromised equipment or failure is not an optio
n. It sounds like an action movie\, but it's a reality for vast numbers of
engineers around the world on a daily basis. When this hits the fan(belt)
\, the best you can do is control the controllables and make sure your equ
ipment\, and connectors\, are up to task.  \;
td> | You will learn: | &bull\; | Thoughts on choosing the right rugged connector for your equi
pment design taking functionality\, manufacturing costs\, durability and r
eliability into account | &bull\; |
What materials make the best rugged connector housing |
&bull\; | Size matters - bigger isn't always better<
/td> | &bull\; | Know your application e
nvironment and risk |  \;
CATEGORIES:Education
UID:20160622T1727340Z-511394-914@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160624T140000
DTEND;TZID=America/Sao_Paulo:20160624T150000
SUMMARY:Renewables Rising: The domination of PV
DESCRIPTION:Join us to hear about the current status of renewables\, drawin
g on REN21’s newly released Renewables 2016 Global Status Report. The Glob
al Solar Council will then discuss where the solar sector is now and where
it is going. What you will learn: • Global trends in the renewable energy
sector • Current status and anticipated developments in the PV solar sect
or Register Now – Watch June 24\, 2016 at 11:00 AM EDT / 10:00 AM CDT / 8:
00 AM PDT / 3:00 PM GMT Need More Details?
X-ALT-DESC;FMTTYPE=text/html:Join us to h
ear about the current status of renewables\, drawing on REN21&rsquo\;s new
ly released Renewables 2016 Global Status Report. The Global Solar Council
will then discuss where the solar sector is now and where it is going. What you will learn: &bull\; Global trends in the renewable energy sector |
&bull\; | Current status and anticipated developments in the PV s
olar sector | Register Now &ndash\; Watch June 24\
, 2016 at 11:00 AM EDT / 10:00 AM CDT / 8:00 AM PDT / 3:00 PM GMT
Need More Details?
CATEGORIES:Education
UID:20160622T1730090Z-511394-915@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160630T140000
DTEND;TZID=America/Sao_Paulo:20160630T150000
SUMMARY:Learn about NXP's Low cost LPC800 Solutions
DESCRIPTION:Learn what you can do with LPC800 Join NXP and Arrow to learn m
ore about how the LPC800 family offers a low-cost solution with ARM Cortex
M0+. This general purpose MCU fits most application areas\, from consumer
to industrial and beyond. In this webinar you will learn: Key benefits of
Cortex-M0+ CPU versus 8 & 16-bit CPUs Features and Applications of the LP
C800 Series Free\, high performance development environment . Featured Spe
aker: Allen Willson\, Product Applications Engineer\, NXP Semiconductors
Register now »
X-ALT-DESC;FMTTYPE=text/html: Learn what you can do with LPC800 <
p style='margin: 10px 0 10px 0\; color: #333333\; font-size: 14px\; line-h
eight: 20px\; font-family: Arial\, sans-serif\;'>Join NXP and Arrow to lea
rn more about how the LPC800 family offers a low-cost solution with ARM Co
rtex M0+. This general purpose MCU fits most application areas\, from cons
umer to industrial and beyond. In this webinar you will learn: - Key benefits of Cortex-M0+ CPU versus 8 &a
mp\; 16-bit CPUs
- Features and Applications of
the LPC800 Series
- Free\, high performance deve
lopment environment
. Featured Speaker: Allen Willson\, Product A
pplications Engineer\, NXP Semiconductors |
 \;  \;  \;  \;
 \;  \;  \;  \;  
\;  \;  \;  \;  \;  \;  \;  \;  \;  \;
p>  \;Register \;now \;»\;  \
;  \;  \;
CATEGORIES:Education
UID:20160622T1733200Z-511394-916@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160627T160000
DTEND;TZID=America/Sao_Paulo:20160627T170000
SUMMARY:State of the IoT: a Roundtable Discussion
DESCRIPTION: Please join us for a webinar - State of the IoT: a Roundtable
Discussion with Leading IoT Innovators\, hosted by Ayla on June 27 at 10A
M PT / 1PM ET. The IoT has come a long way since its inception\, but there
are still a number of massive obstacles left to resolve on the road to it
s mainstream adoption. From security to interoperability\, the success of
IoT is dependent on a number of industry players coming together and inno
vating to address these hard-to-solve problems. No one company can do it a
lone. Listen to Ayla Networks’ CEO Dave Friedman and other Internet of Th
ings leaders as they discuss IoT investments\, partnerships and ecosystems
and where the IoT is headed. Paul Teich of Tirias Research will moderate
this panel discussion. Panel Speakers: David Friedman\, CEO & Co-Found
er\, Ayla Networks Stephen DiFranco\, VP & GM of IoT Business Unit\, Broad
com Nolan Mondrow\, CEO & Founder\, LockState Paul Teich - Moderator\, Pri
ncipal Analyst\, Tirias Research Register Today!
X-ALT-DESC;FMTTYPE=text/html:  \; The IoT has come a long way since it
s inception\, but there are still a number of massive obstacles left to re
solve on the road to its mainstream adoption. \; From security to inte
roperability\, the success of IoT is dependent on a number of industry pla
yers coming together and innovating to address these hard-to-solve problem
s. No one company can do it alone. \; Listen to Ayla Networks&rsquo\; CEO \;Dave Friedman and other Interne
t of Things leaders as they discuss IoT investments\, partnership
s and ecosystems and where the IoT is headed. \; Paul Teich of Tirias
Research will moderate this panel discussion.  \; &n
bsp\;Panel Speakers: - David Friedman\, \;CEO &\; Co-Founder\,
Ayla Netwo
rks - Stephen DiFranco\,
 \;VP &\; GM of IoT Business Unit\,&n
bsp\;Broadcom
- Nolan Mondrow\, \;CEO &\; Founder\, \;LockState
- Paul Teich - Moderator\,&
nbsp\;Principal Analyst\, \;Tirias Research
Register Today!
CATEGORIES:Education
UID:20160622T1735560Z-511394-917@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160704T110000
DTEND;TZID=America/Sao_Paulo:20160704T120000
SUMMARY:Instrumentação
DESCRIPTION:
X-ALT-DESC;FMTTYPE=text/html:
CATEGORIES:Education
UID:20160622T1741540Z-511394-918@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160726T170000
DTEND;TZID=America/Sao_Paulo:20160726T180000
SUMMARY:Level A Certification of Avionics COTS Hardware & Software
DESCRIPTION: Sponsored by: REGISTER NOW Certification of commercial-‐off-
‐the-‐shelf (COTS) hardware and software to Design Assurance Level (DAL)
A will be a game changer for the avionics industry. Thanks to development
s such as OpenGL SC 2.0 for Shader Programmable Safety Critical Graphics b
y the Khronos Group are enabling this process in avionics graphics modern
applications. This E-‐cast of industry experts will cover benefits of saf
ety certification of COTS technology through building blocks that include
GPU hardware certification\, multicore processing for safety critical appl
ications\, designing avionics graphical applications\, and more. Sponsors:
CES\, CoreAVI\, Presagis\, Wind River Moderator: John McHale\, OpenSyste
ms Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | | Sponsored by: | <
p>Certification of commercial-­\;‐off-­\;‐the-­\;‐shelf (COTS) ha
rdware and software to Design Assurance Level (DAL) A will be a game chang
er for the avionics industry. Thanks to developments such as OpenGL SC 2.0
for Shader Programmable Safety Critical Graphics by the Khronos Group are
enabling this process in avionics graphics modern applications. This E-&s
hy\;‐cast of industry experts will cover benefits of safety certification
of COTS technology through building blocks that include GPU hardware certi
fication\, multicore processing for safety critical applications\, designi
ng avionics graphical applications\, and more. | Sponsors:
CES\, CoreAVI\, Presagis\, Wind River Moderator: \; John McHale\, OpenSys
tems Media |
 \;
CATEGORIES:Education
UID:20160622T1745240Z-511394-919@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160719T170000
DTEND;TZID=America/Sao_Paulo:20160719T180000
SUMMARY:Why ZigBee 3.0 is the Ultimate Solution for the IoT
DESCRIPTION:By attending this webinar\, you will learn: About the ZigBee 3.
0 solution – what it is\, its place in the market Why a complete solution
from physical layer to applications layer is needed today How history and
experience matters for wireless next steps involving the Internet of Thing
s Working with future-proof technology from the biggest and smallest\, old
est and newest companies in the industry! You will still have an opportuni
ty to ask the presenters questions during this webinar. Learn more about t
he webinar
X-ALT-DESC;FMTTYPE=text/html:By attending this webinar\, you will learn:
- About the ZigBee 3.0 solution &ndash\; what it is\, its pl
ace in the market
- Why a complete solution from physical layer to
applications layer is needed today
- How history and experience m
atters for wireless next steps involving the Internet of Things
-
Working with future-proof technology from the biggest and smallest\, oldes
t and newest companies in the industry!
You will still hav
e an opportunity to ask the presenters questions during this webinar. Learn more about the webinar
CATEGORIES:Education
UID:20160701T2030130Z-511394-920@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160711T140000
DTEND;TZID=America/Sao_Paulo:20160711T150000
SUMMARY:Debugging Real-time Embedded Software – Hands on - Day 1
DESCRIPTION:The greatest challenge facing embedded system developers is deb
ugging software. Embedded systems have become very complex systems running
real-time operating systems\, connectivity stacks\, USB\, security among
a wide variety of other application code. Many engineers are still debuggi
ng using only break-points which is inefficient and won't find most proble
ms. In this course\, Jacob Beningo will walk you through modern debugging
techniques for baremetal and RTOS based systems on a NXP Kinetis freedom b
oard. Attendees will learn how to set up debugging tools and use new techn
iques such as system tracing. July 11 - Day 1: Introduction to Debugging R
eal-time Embedded Systems Developers can listen and read about debugging a
ll day long but until they jump in and get their hands dirty its only theo
ry. In this session\, Jacob will give a high level overview of the debuggi
ng techniques available to developers today and walk them through how to s
etup the debug environment that will be used as a test bed through-out the
remainder of the week.
X-ALT-DESC;FMTTYPE=text/html:The greatest challenge facing embedded syst
em developers is debugging software. Embedded systems have become very com
plex systems running real-time operating systems\, connectivity stacks\, U
SB\, security among a wide variety of other application code. Many enginee
rs are still debugging using only break-points which is inefficient and wo
n't find most problems.
In this course\, Jacob Beningo will walk you through mo
dern debugging techniques for baremetal and RTOS based systems on a NXP Ki
netis freedom board. Attendees will learn how to set up debugging tools an
d use new techniques such as system tracing. - July 11 - Day
1: Introduction to Debugging Real-time Embedded Systems
Developers can listen and read about debugging all day long but until th
ey jump in and get their hands dirty its only theory. In this session\, Ja
cob will give a high level overview of the debugging techniques available
to developers today and walk them through how to setup the debug environme
nt that will be used as a test bed through-out the remainder of the week.<
/span>
CATEGORIES:Education
UID:20160701T2034320Z-511394-921@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160712T140000
DTEND;TZID=America/Sao_Paulo:20160712T150000
SUMMARY:Debugging Real-time Embedded Software – Hands on - Day 2
DESCRIPTION:The greatest challenge facing embedded system developers is deb
ugging software. Embedded systems have become very complex systems running
real-time operating systems\, connectivity stacks\, USB\, security among
a wide variety of other application code. Many engineers are still debuggi
ng using only break-points which is inefficient and won't find most proble
ms. In this course\, Jacob Beningo will walk you through modern debugging
techniques for baremetal and RTOS based systems on a NXP Kinetis freedom b
oard. Attendees will learn how to set up debugging tools and use new techn
iques such as system tracing. July 12 - Day 2: Foundational Debugging Tech
niques There is no point in spending the time to pull out the big guns if
a stick will do the job. In this session\, Jacob will examine traditional
debug techniques\, such as proper use of printf and assert. Attendees will
get hands-on experience with the approaches and also get up to speed on t
erminology\, bug classification and tracking.
X-ALT-DESC;FMTTYPE=text/html:The greatest challenge facing embedded syst
em developers is debugging software. Embedded systems have become very com
plex systems running real-time operating systems\, connectivity stacks\, U
SB\, security among a wide variety of other application code. Many enginee
rs are still debugging using only break-points which is inefficient and wo
n't find most problems.
In this course\, Jacob Beningo will walk you through mo
dern debugging techniques for baremetal and RTOS based systems on a NXP Ki
netis freedom board. Attendees will learn how to set up debugging tools an
d use new techniques such as system tracing. - July 12 - Day
2: Foundational Debugging Techniques
There is no point
in spending the time to pull out the big guns if a stick will do the job.
In this session\, Jacob will examine traditional debug techniques\, such
as proper use of printf and assert. Attendees will get hands-on experience
with the approaches and also get up to speed on terminology\, bug classif
ication and tracking.
CATEGORIES:Education
UID:20160701T2035270Z-511394-922@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160713T140000
DTEND;TZID=America/Sao_Paulo:20160713T150000
SUMMARY:Debugging Real-time Embedded Software – Hands on - Day 3
DESCRIPTION:The greatest challenge facing embedded system developers is deb
ugging software. Embedded systems have become very complex systems running
real-time operating systems\, connectivity stacks\, USB\, security among
a wide variety of other application code. Many engineers are still debuggi
ng using only break-points which is inefficient and won't find most proble
ms. In this course\, Jacob Beningo will walk you through modern debugging
techniques for baremetal and RTOS based systems on a NXP Kinetis freedom b
oard. Attendees will learn how to set up debugging tools and use new techn
iques such as system tracing. July 13 - Day 3: Debugging the ARM Cortex-M
Microcontroller One of the most popular microcontrollers on the market\, t
he ARM Cortex-M series has some incredible debugging capabilities that dev
elopers can quickly leverage to get through the debugging process. In this
session\, attendees will learn how to debug real-time issues and faults a
nd get an introduction into RTT\, SWD and SWO.
X-ALT-DESC;FMTTYPE=text/html:The greatest challenge facing embedded syst
em developers is debugging software. Embedded systems have become very com
plex systems running real-time operating systems\, connectivity stacks\, U
SB\, security among a wide variety of other application code. Many enginee
rs are still debugging using only break-points which is inefficient and wo
n't find most problems.
In this course\, Jacob Beningo will walk you through mo
dern debugging techniques for baremetal and RTOS based systems on a NXP Ki
netis freedom board. Attendees will learn how to set up debugging tools an
d use new techniques such as system tracing. - July 13 - Day
3: Debugging the ARM Cortex-M Microcontroller
One of t
he most popular microcontrollers on the market\, the ARM Cortex-M series h
as some incredible debugging capabilities that developers can quickly leve
rage to get through the debugging process. In this session\, attendees wil
l learn how to debug real-time issues and faults and get an introduction i
nto RTT\, SWD and SWO.
CATEGORIES:Education
UID:20160701T2036160Z-511394-923@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160714T140000
DTEND;TZID=America/Sao_Paulo:20160714T150000
SUMMARY:Debugging Real-time Embedded Software – Hands on - Day 4
DESCRIPTION:The greatest challenge facing embedded system developers is deb
ugging software. Embedded systems have become very complex systems running
real-time operating systems\, connectivity stacks\, USB\, security among
a wide variety of other application code. Many engineers are still debuggi
ng using only break-points which is inefficient and won't find most proble
ms. In this course\, Jacob Beningo will walk you through modern debugging
techniques for baremetal and RTOS based systems on a NXP Kinetis freedom b
oard. Attendees will learn how to set up debugging tools and use new techn
iques such as system tracing. July 14 - Day 4: Utilizing System Viewers an
d Trace Tools to Debug Firmware Building on understanding of ARM Cortex-M
debugging\, in this session\, Jacob will walk attendees through how to set
up and utilize system viewers and trace tools to efficiently debug embedde
d systems in real-time. Attendees will walk away will new skills and techn
iques that will be directly applicable to help them shorten their debuggin
g times and improve system quality.
X-ALT-DESC;FMTTYPE=text/html:The greatest challenge facing embedded syst
em developers is debugging software. Embedded systems have become very com
plex systems running real-time operating systems\, connectivity stacks\, U
SB\, security among a wide variety of other application code. Many enginee
rs are still debugging using only break-points which is inefficient and wo
n't find most problems.
In this course\, Jacob Beningo will walk you through mo
dern debugging techniques for baremetal and RTOS based systems on a NXP Ki
netis freedom board. Attendees will learn how to set up debugging tools an
d use new techniques such as system tracing. - July 14 - Day
4: Utilizing System Viewers and Trace Tools to Debug Firmware
Building on understanding of ARM Cortex-M debugging\, in this sess
ion\, Jacob will walk attendees through how to setup and utilize system vi
ewers and trace tools to efficiently debug embedded systems in real-time.
Attendees will walk away will new skills and techniques that will be direc
tly applicable to help them shorten their debugging times and improve syst
em quality.
CATEGORIES:Education
UID:20160701T2037220Z-511394-924@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160715T140000
DTEND;TZID=America/Sao_Paulo:20160715T150000
SUMMARY:Debugging Real-time Embedded Software – Hands on - Day 5
DESCRIPTION:The greatest challenge facing embedded system developers is deb
ugging software. Embedded systems have become very complex systems running
real-time operating systems\, connectivity stacks\, USB\, security among
a wide variety of other application code. Many engineers are still debuggi
ng using only break-points which is inefficient and won't find most proble
ms. In this course\, Jacob Beningo will walk you through modern debugging
techniques for baremetal and RTOS based systems on a NXP Kinetis freedom b
oard. Attendees will learn how to set up debugging tools and use new techn
iques such as system tracing. July 15 - Day 5: Tips and Tricks for Debug
ging Embedded Systems In this session\, Jacob will review the finer points
of debugging an embedded systems and provide attendees with tips and tric
ks that will make them masters of debugging. Attendees will walk away with
a half dozen or more techniques to discover memory issues\, race conditio
ns\, priority inversions and other potential issues that often plague an e
mbedded system.
X-ALT-DESC;FMTTYPE=text/html:The greatest challenge facing embedded syst
em developers is debugging software. Embedded systems have become very com
plex systems running real-time operating systems\, connectivity stacks\, U
SB\, security among a wide variety of other application code. Many enginee
rs are still debugging using only break-points which is inefficient and wo
n't find most problems.
In this course\, Jacob Beningo will walk you through mo
dern debugging techniques for baremetal and RTOS based systems on a NXP Ki
netis freedom board. Attendees will learn how to set up debugging tools an
d use new techniques such as system tracing.
CATEGORIES:Education
UID:20160701T2038320Z-511394-925@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160721T150000
DTEND;TZID=America/Sao_Paulo:20160721T160000
SUMMARY:Speed Software Development and IP Validation for ARMv8-based SoCs u
sing Juno ARM Development Platform
DESCRIPTION:Overview: This webinar introduces the new HAPS adaptor to con
nect a Juno ARM® Development Platform (ADP) to a Synopsys HAPS® Prototypin
g System or DesignWare® IP Prototyping Kit to accelerate software developm
ent and hardware validation of ARMv8-based designs. The new adaptor links
the de-facto platform for ARMv8 software development to a high performance
HAPS prototyping system with a reference design\, enabling software devel
opers to start integrating new hardware into ARMv8 64bit software stacks.
Attend this webinar to learn about: Brief overview of the Juno ARM Develop
ment Platform Introduction of the HAPS prototyping solution with HAPS Prot
oCompiler Introduction of DesignWare IP Prototyping Kits Capabilities and
use cases enabled by the Juno HAPS adaptor board Who should attend? Verifi
cation and FPGA prototyping engineers\, Software developers for ARM-based
firmware\, OS and drivers\, IP integration engineers » Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview:  \; | This webinar introduce
s the new HAPS adaptor to connect a Juno ARM®\; Development Platform (A
DP) to a Synopsys HAPS®\; Prototyping System or DesignWare®\; IP Pro
totyping Kit to accelerate software development and hardware validation of
ARMv8-based designs. The new adaptor links the de-facto platform for ARMv
8 software development to a high performance HAPS prototyping system with
a reference design\, enabling software developers to start integrating new
hardware into ARMv8 64bit software stacks. | Attend this webinar to learn about: | <
/tr> - Brief overview of the Juno ARM Developmen
t Platform
- Introduction of the HAPS prototyping solution with HA
PS ProtoCompiler
- Introduction of DesignWare IP Prototyping Kits<
/li>
- Capabilities and use cases enabled by the Juno HAPS adaptor boar
d
| Who should at
tend? | Verification and FPGA prototypi
ng engineers\, Software developers for ARM-based firmware\, OS and drivers
\, IP integration engineers | »\; Register Today<
/span> |  \;
CATEGORIES:Education
UID:20160701T2041020Z-511394-926@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160720T170000
DTEND;TZID=America/Sao_Paulo:20160720T180000
SUMMARY:Going Green with the Internet of Things (IoT)
DESCRIPTION: A growing population and expanding global activities continue
to put Earth’s resources under pressure\, and today many businesses face
social\, economic\, and legislative pressures to make our future not only
better\, but greener. The Internet of Things (IoT) is bridging the physica
l and digital worlds\, with new solutions that bring significant environme
ntal benefits for people\, businesses\, and the planet. With 50 to 200 bil
lion connected IoT devices being deployed by 2020\, IoT is one thing that
can positively contribute to the environment starting today. Companies lik
e Taxibot\, developer of the first robotic airplane towing vehicle\; Augus
taWestland\, creator of an award-winning all-electric aircraft\; and Schne
ider Electric\, developer of an intelligent energy management system\, are
all making an impact by creating innovative products that address various
environmental concerns—from increased emissions and global warming to the
gradual depletion of energy sources. What you will learn: Ways IoT can im
prove how we manage the Earth’s resources How companies are making a posit
ive impact on our environment today How Wind River’s VxWorks is playing a
role in helping create a greener Earth Speaker: Alex Wilson\, Director o
f Market Development\, Wind River Moderator: Brandon Lewis\, OpenSystems
Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | | A growing population and expanding global ac
tivities continue to put Earth&rsquo\;s resources under pressure\, and tod
ay many businesses face social\, economic\, and legislative pressures to m
ake our future not only better\, but greener. The Internet of Things (IoT)
is bridging the physical and digital worlds\, with new solutions that bri
ng significant environmental benefits for people\, businesses\, and the pl
anet. With 50 to 200 billion connected IoT devices being deployed by 2020\
, IoT is one thing that can positively contribute to the environment start
ing today. Companies like Taxibot\, developer of the first robotic airplan
e towing vehicle\; AugustaWestland\, creator of an award-winning all-elect
ric aircraft\; and Schneider Electric\, developer of an intelligent energy
management system\, are all making an impact by creating innovative produ
cts that address various environmental concerns&mdash\;from increased emis
sions and global warming to the gradual depletion of energy sources.
What you will learn: - Ways IoT can improve how we manage
the Earth&rsquo\;s resources
- How companies are making a positive
impact on our environment today
- How Wind River&rsquo\;s VxWorks
is playing a role in helping create a greener Earth
 
\; | Speaker: Alex Wilson\, Director of Mar
ket Development\, Wind River Mode
rator: \; Brandon Lewis\, OpenSystems Media |
 \;
CATEGORIES:Education
UID:20160701T2043170Z-511394-927@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160714T160000
DTEND;TZID=America/Sao_Paulo:20160714T170000
SUMMARY:Optical Flicker: Explained
DESCRIPTION:The webcast is intended for a wide audience\, and will provide
a high level exploration on the issue of optical flicker. What You'll Le
arn: What flicker is Causes of optical flicker Potential problems associ
ated with optical flicker Standards and requirements that include flicker\
, including those in development Where low flicker is important\, and wher
e it may not be Who Should Attend: Lighting product engineers & developers
LED product & system designers Quality assurance professionals
X-ALT-DESC;FMTTYPE=text/html:The webcast is intended for a wide audience\
, and will provide a high level exploration on the issue of optical flicke
r.  \; What You'll Learn
:  \; - What flicker is
-
Causes of optical fl
icker
- Potential problems associated with optical flicker
- Standards and requireme
nts that include flicker\, including those in development
- Where low flicker i
s important\, and where it may not be
Who Should Attend:
- Lighti
ng product engineers &\; developers
- LED product &\; system designers
- Quali
ty assurance professionals
 \; &nbs
p\;
CATEGORIES:Education
UID:20160701T2047590Z-511394-928@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160713T140000
DTEND;TZID=America/Sao_Paulo:20160713T150000
SUMMARY:Choosing a machine vision camera
DESCRIPTION:When it comes to choosing the correct industrial camera for you
r machine vision system\, understanding camera and optic specifications ar
e key. This free webcast by Nigel Holmes\, Vision Technology Manager\, Fed
eral Mogul Corporation\, will explain these specifications while also disc
ussing resolution\, pixel count\, field of view\, as well as provide an ov
erview of the types of cameras that are currently available. What You'll
Learn: How the correct choice of lenses and cameras can affect system p
erformance Camera specifications such as resolution\, pixel count\, field
of view and S/N ratio The different types of interfaces currently availabl
e Who Should attend: Those involved in specifying lenses and cameras or
machine vision systems Those wishing to gain a greater understanding of ca
mera parameters Systems designers and engineering managers
X-ALT-DESC;FMTTYPE=text/html:When it comes to choosing the correct indust
rial camera for your machine vision system\, understanding camera and opti
c specifications are key. This free webcast by Nigel Holmes\, Vision Techn
ology Manager\, Federal Mogul Corporation\, will explain these specificati
ons while also discussing resolution\, pixel count\, field of view\, as we
ll as provide an overview of the types of cameras that are currently avail
able.  \; What You'll Learn:  \; - How the corr
ect choice of lenses and cameras can affect system performance
- Camera specifi
cations such as resolution\, pixel count\, field of view and S/N ratio
- The d
ifferent types of interfaces currently available
&
nbsp\; Who Should attend
:
- Those involved in specifying lenses and c
ameras or machine vision systems
- Those wishing to gain a greater understandin
g of camera parameters
- Systems designers and engineering managers
 \;
CATEGORIES:Education
UID:20160701T2053170Z-511394-929@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160726T170000
DTEND;TZID=America/Sao_Paulo:20160726T180000
SUMMARY:Selling the Connected Home: How to Grow Your Business
DESCRIPTION: More than simply building connected products\, Nest's mission
is to create a home that's thoughtful - one that takes care of itself and
the people inside it. Gene LaNois\, general manager of Nest's professiona
l channel\, will share his thoughts on the future of the connected home\,
what it means for the HVAC industry\, and the important steps to becoming
a smart home provider. During this webinar\, attendees will: Gain an in-de
pth understanding of Nest products Discover how the new 'Connected Trade'
removes seasonality Recognize how HVAC is best positioned to be the leader
in this new 'Connected Trade' Can't attend live? Register to view the web
inar on-demand!
X-ALT-DESC;FMTTYPE=text/html:  \; More than simply building connected products\, Nest's mission is to cr
eate a home that's thoughtful - one that takes care of itself and the peop
le inside it. Gene LaNois\, general manager of Nest's professional channel
\, will share his thoughts on the future of the connected home\, what it m
eans for the HVAC industry\, and the important steps to becoming a smart h
ome provider. During
this webinar\, attendees will: - Gain an i
n-depth understanding of Nest products
- Discover how the new 'Connected Trade' removes seasonality
- Recognize how HVAC is best positioned to be the leader in this ne
w 'Connected Trade'
| <
td>Can't attend live? Register to v
iew the webinar on-demand!  \; |
tr>
|
CATEGORIES:Education
UID:20160701T2109290Z-511394-930@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160713T160000
DTEND;TZID=America/Sao_Paulo:20160713T170000
SUMMARY:Reducing Uncertainty with Calibration for Angle of Arrival Testing
DESCRIPTION:Why this webcast is important: EW receivers often use angle of
arrival (AoA) as a primary sorting parameter in dense signal environments.
Reducing the uncertainty in direction-finding (DF) receiver measurements
leads to better accuracy in AoA estimation. Prior to AoA accuracy testing
in a lab setting\, calibration -- process\, application and verification -
- can be performed at the interface to the system under test. This webcast
examines three measurement receivers that enable effective calibration: p
ower meters\, oscilloscopes\, and vector network analyzers. These are used
to measure uncertainty in amplitude offset\, phase offset\, and time skew
. With that data\, closed-form expressions of the total uncertainty can be
derived according to the current ISO Guide to the Expression of Uncertain
ty in Measurements. Who should attend: Test engineers\, systems engineers\
, and managers who develop\, test and support radar and electronic warfare
systems Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important: EW receivers often use
angle of arrival (AoA) as a primary sorting parameter in dense signal envi
ronments. Reducing the uncertainty in direction-finding (DF) receiver meas
urements leads to better accuracy in AoA estimation. Prior to AoA accuracy
testing in a lab setting\, calibration -- process\, application and verif
ication -- can be performed at the interface to the system under test. This webcast examines three measurement receivers that enable e
ffective calibration: power meters\, oscilloscopes\, and vector network an
alyzers. These are used to measure uncertainty in amplitude offset\, phase
offset\, and time skew. With that data\, closed-form expressions of the t
otal uncertainty can be derived according to the current ISO Guide to the
Expression of Uncertainty in Measurements. Wh
o should attend: Test engineers\, systems engineers\, and m
anagers who develop\, test and support radar and electronic warfare system
s <
a href='http://app.link.pentondes.com/e/er?s=1904481191&\;lid=36214&
\;elqTrackId=c5fd3f17142f492c8d10684529bd0638&\;elq=7b1ab3705bf7483f974
c7ce6ed993fc9&\;elqaid=6745&\;elqat=1&\;utm_rid=CPG05000002042996
&\;utm_campaign=6745&\;utm_medium=email&\;elq2=7b1ab3705bf7483f97
4c7ce6ed993fc9' target='_blank' data-saferedirecturl='https://www.google.c
om/url?hl=pt-BR&\;q=http://app.link.pentondes.com/e/er?s%3D1904481191%2
6lid%3D36214%26elqTrackId%3Dc5fd3f17142f492c8d10684529bd0638%26elq%3D7b1ab
3705bf7483f974c7ce6ed993fc9%26elqaid%3D6745%26elqat%3D1%26utm_rid%3DCPG050
00002042996%26utm_campaign%3D6745%26utm_medium%3Demail%26elq2%3D7b1ab3705b
f7483f974c7ce6ed993fc9&\;source=gmail&\;ust=1467491226153000&\;us
g=AFQjCNG6OELHImRH5GbnSO1jFOJ4bOBxew'>Register now for this live webcast
a>
CATEGORIES:Education
UID:20160701T2113240Z-511394-931@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160719T150000
DTEND;TZID=America/Sao_Paulo:20160719T160000
SUMMARY:Foundation IP for Automotive ICs: What Do You Need?
DESCRIPTION:Are you new to designing automotive ICs? One of the first decis
ions you will make – and one that can make or break your long-term design
success—relates to embedded memories and logic libraries (foundation IP).
In addition to the performance\, power and area (PPA) IP requirements that
you’re already familiar with\, your design has a host of additional requi
rements specific to the automotive market\, such as ISO 26262\, TS 16949\,
Functional Safety\, Zero DPPM\, etc. View this webinar to understand what
you need to look for and the questions to ask when considering foundation
IP for your automotive applications. Attend this webinar to learn about:
Which standards apply to IP for different automotive ICs (ADAS\, infotainm
ent\, and more) How reliability grades affect your IP selection and implem
entation risk The impact of functional safety on your design decisions How
ISO 26262\, TS 16949\, AEC-Q100\, and Zero DPPM affect your design Questi
ons to ask IP vendors about embedded memories\, logic libraries\, function
al safety\, and more How using ISO 26262 certified IP affects your design
process and ultimate success Who should attend? SoC designers and system/c
hip architects who are interested in using Foundation IP (Embedded Memory\
, Logic Libraries and Non-Volatile Memory (NVM)) for their automotive ICs
REGISTER
X-ALT-DESC;FMTTYPE=text/html: A
re you new to designing automotive ICs? One of the first decisions you wil
l make &ndash\; and one that can make or break your long-term design succe
ss&mdash\;relates to embedded memories and logic libraries (foundation IP)
. In addition to the performance\, power and area (PPA) IP requirements th
at you&rsquo\;re already familiar with\, your design has a host of additio
nal requirements specific to the automotive market\, such as ISO 26262\, T
S 16949\, Functional Safety\, Zero DPPM\, etc. View this webinar to unders
tand what you need to look for and the questions to ask when considering f
oundation IP for your automotive applications. Attend this
webinar to learn about: - Which standards apply to
IP for different automotive ICs (ADAS\, infotainment\, and more)
- How reliability grades affect your IP selection and implementation risk
li>
- The impact of functional safety on your design decisions
How ISO 26262\, TS 16949\, AEC-Q100\, and Zero DPPM affect your design
li> - Questions to ask IP vendors about embedded memories\, logic libra
ries\, functional safety\, and more
- How using ISO 26262 certifie
d IP affects your design process and ultimate success
Who should attend? SoC designers and system/chip arch
itects who are interested in using Foundation IP (Embedded Memory\, Logic
Libraries and Non-Volatile Memory (NVM)) for their automotive ICs
REGIST
ER
CATEGORIES:Education
UID:20160701T2118110Z-511394-932@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160728T150000
DTEND;TZID=America/Sao_Paulo:20160728T160000
SUMMARY:RF Discretes: for flexible and reliable complementary wireless conn
ectivity
DESCRIPTION:Is product performance everything? In this webinar you will lea
rn more about Infineon recommended RF Diodes and RF Transistors offering\,
their adoption in typical and atypical RF wireless communication and get
acquainted to Infineon's unique value selling beyond product performance.
Attendees will learn Where this device series is adopted in different Radi
o Frequency applications What the unique selling value of these products i
s How Infineon compares beyond performance excellence Who should attend?
Application Engineers\, Product Marketing\, Technical Marketing\, Sales O
rganization\, Procurement Organization REGISTER
X-ALT-DESC;FMTTYPE=text/html: I
s product performance everything? In this webinar you will learn more
about Infineon recommended RF Diodes and RF Transistors offering\, their
adoption in typical and atypical RF wireless communication and get acquain
ted to Infineon's unique value selling beyond product performance. Attendees will learn - Where this device se
ries is adopted in different Radio Frequency applications
- What t
he unique selling value of these products is \;
- How Infineon
compares beyond performance excellence
Who should
attend? \; Application Engineers\, Product Marketing\,
Technical Marketing\, Sales Organization\, Procurement Organization
 \; REGISTER
CATEGORIES:Education
UID:20160705T1847500Z-511394-933@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160726T160000
DTEND;TZID=America/Sao_Paulo:20160726T170000
SUMMARY:Simplifying LTE-A eNB Measurements
DESCRIPTION:Why this webcast is important: LTE-Advanced is an emerging stan
dard that promises to deliver true 4G speeds and support bigger wireless d
ata payloads. In order to do so\, a number of new technologies like Carrie
r Aggregation (CA) and higher-order MIMO are utilized. These introduce new
challenges to test the e-nodeB as new test conditions have been added to
the standard to accommodate the new technologies. The one that is perhaps
the most challenging is Carrier Aggregation—the mechanism by which the sta
ndard is able to achieve the wider transmission bandwidths needed to meet
higher data rates. During this webcast\, we will look in detail at new con
figurations used to simplify testing of base stations with carrier aggrega
tion. We will also examine the ACLR & SEM measurements which have been mod
ified to reflect the changes brought in by carrier aggregation. Who should
attend: All professionals working across cellular design\, validation\, m
anufacturing and testing. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Why th
is webcast is important: LTE-Advanced is an emerging standa
rd that promises to deliver true 4G speeds and support bigger wireless dat
a payloads. In order to do so\, a number of new technologies like Carrier
Aggregation (CA) and higher-order MIMO are utilized. These introduce new c
hallenges to test the e-nodeB as new test conditions have been added to th
e standard to accommodate the new technologies. The one that is perhaps th
e most challenging is Carrier Aggregation&mdash\;the mechanism by which th
e standard is able to achieve the wider transmission bandwidths needed to
meet higher data rates. During this w
ebcast\, we will look in detail at new configurations used to simplify tes
ting of base stations with carrier aggregation. We will also examine the A
CLR &\; SEM measurements which have been modified to reflect the change
s brought in by carrier aggregation.
Who should attend: All professionals working across
cellular design\, validation\, manufacturing and testing. <
/strong>
CATEGORIES:Education
UID:20160705T1849290Z-511394-934@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160714T150000
DTEND;TZID=America/Sao_Paulo:20160714T160000
SUMMARY:Selecting the Correct Mathematical Format to Achieve Design Precisi
on
DESCRIPTION:In today’s connected world\, electronics are greatly tied into
our daily lives\, challenging designers to maintain accuracy in their hard
ware designs when executing mathematical calculations. Some applications w
ill require mathematical calculations such as floating point or integer ma
th\, however\, both calculations force trade-offs in usability and cost. I
n this webinar you will learn about mathematical requirements for your tar
geted applications as well as new formats for use in hardware mathematics
that can help you make clear design trade-offs and achieve design precisio
n. Attend this webinar to learn about: How floating point and fixed point
hardware components can be used to solve complex design challenges How you
can control accuracy in your design using the flexible floating point for
mat How the DesignWare® Foundation Cores Mathematical Library can be used
in your design flow\, supporting both hardware and software engineers What
is new in the latest DesignWare Foundation Cores release that can help yo
u achieve design precision Who should attend? SoC designers\, design manag
ers\, R&D teams REGISTER
X-ALT-DESC;FMTTYPE=text/html: I
n today&rsquo\;s connected world\, electronics are greatly tied into our d
aily lives\, challenging designers to maintain accuracy in their hardware
designs when executing mathematical calculations. Some applications will r
equire mathematical calculations such as floating point or integer math\,
however\, both calculations force trade-offs in usability and cost. In thi
s webinar you will learn about mathematical requirements for your targeted
applications as well as new formats for use in hardware mathematics that
can help you make clear design trade-offs and achieve design precision. Attend this webinar to learn about: -
How floating point and fixed point hardware components can be used to solv
e complex design challenges
- How you can control accuracy in your
design using the flexible floating point format
- How the DesignW
are®\; Foundation Cores Mathematical Library can be used in
your design flow\, supporting both hardware and software engineers
<
li>What is new in the latest DesignWare Foundation Cores release that can
help you achieve design precision Who should atten
d? SoC designers\, design managers\, R&\;D teams
 \; REGISTER
CATEGORIES:Education
UID:20160705T1852550Z-511394-935@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160720T150000
DTEND;TZID=America/Sao_Paulo:20160720T160000
SUMMARY:Emerging vision technologies: Enabling a new era of intelligent dev
ices
DESCRIPTION:Computer vision has come a long way\, enabling use cases that w
ere previously not possible in mass-market devices. Thanks to advances in
image sensors\, mobile processors\, operating systems\, and application so
ftware\, vision is now an essential technology for enabling a broad range
of applications in tomorrow’s intelligent devices: from smartphones and co
nnected cameras to cars\, robots\, and drones. Making this happen seamless
ly in mass-market devices is not easy\, but Qualcomm is making it possible
by leveraging our high performance mobile technology and computer vision
expertise. Attend this webinar to learn about: Key advancements in compute
r vision technologies Motivations for incorporating vision technologies in
to devices in different market sectors Critical challenges to ubiquitous d
eployment of vision technologies The importance of enabling visual intelli
gence Potential applications of visual intelligence in different market se
ctors Why on-device intelligence will be key for emerging vision applicati
ons Why Qualcomm Technologies is uniquely positioned to lead in computer v
ision technologies REGISTER
X-ALT-DESC;FMTTYPE=text/html: C
omputer vision has come a long way\, enabling use cases that were previous
ly not possible in mass-market devices. Thanks to advances in image sensor
s\, mobile processors\, operating systems\, and application software\, vis
ion is now an essential technology for enabling a broad range of applicati
ons in tomorrow&rsquo\;s intelligent devices: from smartphones and connect
ed cameras to cars\, robots\, and drones. Making this happen seamlessly in
mass-market devices is not easy\, but Qualcomm is making it possible by l
everaging our high performance mobile technology and computer vision exper
tise. Attend this webinar to learn about: Key advancements in computer vision technologies Motivat
ions for incorporating vision technologies into devices in different marke
t sectors Critical challenges to ubiquitous deployment of vision
technologies The importance of enabling visual intelligence Potential applications of visual intelligence in different market s
ectors Why on-device intelligence will be key for emerging visio
n applications Why Qualcomm Technologies is uniquely positioned
to lead in computer vision technologies REGISTER
CATEGORIES:Education
UID:20160705T1854490Z-511394-936@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160721T170000
DTEND;TZID=America/Sao_Paulo:20160721T180000
SUMMARY:Compact and easy solution to control brushed DC motor in industrial
applications
DESCRIPTION:In this session we will review applications which can utilize I
nfineon Technologies integrated H-bridge driver IC with SPI control capabi
lities. We will cover potential markets for this device and touch on other
product lines utilized in these applications. We will then review the fea
tures and functions specific to the general purpose IFX9201SG 6A H-bridge
with SPI and finish up with the promotional material available for this de
vice. Attendees will learn Business potential Technical requirements and p
roduct portfolio IFX9201SG features and functions Who should attend? Engi
neers and Engineering managers looking for concise solution to control bru
sh DC motors in industrial applications REGISTER
X-ALT-DESC;FMTTYPE=text/html: I
n this session we will review applications which can utilize Infineon Tech
nologies integrated H-bridge driver IC with SPI control capabilities. We w
ill cover potential markets for this device and touch on other product lin
es utilized in these applications. We will then review the features and fu
nctions specific to the general purpose IFX9201SG 6A H-bridge with SPI and
finish up with the promotional material available for this device. <
p> Attendees will learn - Business potential<
/li>
- Technical requirements and product portfolio
- IFX9201SG
features and functions
Who should attend? \;<
/strong> Engineers and Engineering managers looking for concise solu
tion to control brush DC motors in industrial applications REGISTER<
/strong>
CATEGORIES:Education
UID:20160705T1857440Z-511394-937@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160720T160000
DTEND;TZID=America/Sao_Paulo:20160720T170000
SUMMARY:Avoid the 34401A Apocalypse
DESCRIPTION:Why this webcast is important: Have you heard that after more t
han 20 years\, the 34401A is going to be discontinued? The 34401A DMM has
been a staple in many systems or on the bench. For many people\, the thoug
ht of no longer being able to simply purchase a 34401A feels like an apoca
lypse is coming. Surprises are not an option\, so we are going to do a ver
y detailed investigation of all the differences between the 34401A and the
34461A. We will look into the corner-cases and even point out situations
where you didn’t realize your application might actually rely upon the 344
01A behavior. Much of what we cover probably won’t affect you\, but this i
s a great opportunity to find out for sure. Warning: this webinar is detai
led and is designed for those with a serious interest. Join us as we walk
through various considerations to help you investigate ways to avoid the p
ain and surprises when changing to a new model. In showing you how to avoi
d the apocalypse\, we will be covering topics such as: Features Physical S
ize and Connections SCPI Compatibility IO Interfaces Price Who should atte
nd: Engineers and technicians using DMMs for qualification\, characterizat
ion\, test or troubleshooting. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Why thi
s webcast is important: Have you heard that after more than
20 years\, the 34401A is going to be discontinued? The 34401A DMM has bee
n a staple in many systems or on the bench. For many people\, the thought
of no longer being able to simply purchase a 34401A feels like an apocalyp
se is coming. Surprises are not an option\, so we are going t
o do a very detailed investigation of all the differences between the 3440
1A and the 34461A. We will look into the corner-cases and even point out s
ituations where you didn&rsquo\;t realize your application might actually
rely upon the 34401A behavior. Much of what we cover probably won&rsquo\;t
affect you\, but this is a great opportunity to find out for sure. Warnin
g: this webinar is detailed and is designed for those with a serious inter
est. Join us as we walk through various considerations to help you investi
gate ways to avoid the pain and surprises when changing to a new model. In
showing you how to avoid the apocalypse\, we will be covering topics such
as: - Features
- Phys
ical Size and Connections
- SCPI Compatibility
- IO Interfaces
- Price
ul> Who should attend: Engineers and technicians using DMMs for qualification\, characterizat
ion\, test or troubleshooting.
CATEGORIES:Education
UID:20160705T1858500Z-511394-938@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160714T170000
DTEND;TZID=America/Sao_Paulo:20160714T180000
SUMMARY:Prototype Smarter – Transitioning to Production Faster and More Eff
ectively
DESCRIPTION:Early prototyping using 3D printing and CNC machining can incre
ase your speed to market. Learn about key design considerations and benefi
ts of using both processes as well as injection molding during early proto
typing stages. Taking prototyping one step further\, we will discuss how u
sing low-volume injection molding for engineering-grade parts can help bri
dge you into large-scale production. You will learn valuable design consid
erations that are often overlooked in early product development that conce
rn draft\, wall thickness\, coring\, material selection and rib design. Wh
at You Will Learn: • Learn what prototyping processes and materials are av
ailable with rapid manufacturing. • Learn how designing for production whi
le prototyping can reduce manufacturing time and cost. • Learn how to decr
ease your time to market by using a rapid manufacturing service bureau tha
t supports several stages of product development while shortening your dev
elopment cycle. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Early prototyping using 3D p
rinting and CNC machining can increase your speed to market. Learn about k
ey design considerations and benefits of using both processes as well as i
njection molding during early prototyping stages. Taking prototyping one s
tep further\, we will discuss how using low-volume injection molding for e
ngineering-grade parts can help bridge you into large-scale production. Yo
u will learn valuable design considerations that are often overlooked in e
arly product development that concern draft\, wall thickness\, coring\, ma
terial selection and rib design. What You Will Learn
: &bull\; Learn what prototyping processes and materials ar
e available with rapid manufacturing. &bull\; Learn how designing fo
r production while prototyping can reduce manufacturing time and cost. &bull\; Learn how to decrease your time to market by using a rapid manu
facturing service bureau that supports several stages of product developme
nt while shortening your development cycle.
CATEGORIES:Education
UID:20160707T1657370Z-511394-939@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160725T170000
DTEND;TZID=America/Sao_Paulo:20160725T180000
SUMMARY:Implementing Advanced IoT Embedded Designs with the Renesas Synergy
Platform - A Hands-on Course - Day 1
DESCRIPTION:This new series extends on Warren Miller's previous course usin
g the Renesas Synergy Platform and the popular ThreadX RTOS. Leveraging th
e background from that course\, these classes will focus on practical impl
ementations. Several example designs will be used to explore the tools and
techniques available for creating IoT applications including advanced GUI
s\, networking connectivity and debug consoles. Students can use the free
design software or optionally follow along using a starter kit to see actu
al hardware in action. July 25 - Day 1: IoT Designs Using High Level Const
ructs This class will review and provide implementation examples of a few
of the key RTOS capabilities appropriate for IoT applications. It will use
the Renesas Synergy Platform\, featured in Warren’s previous course. Stud
ents are strongly encouraged to view the previous course and download\, in
stall and use the free Renesas Synergy Software Package (SSP).
X-ALT-DESC;FMTTYPE=text/html:This new series extends on Warren Miller's p
revious course using the Renesas Synergy Platform and the popular Thre
adX RTOS. Leveraging the background from that course\, these classes will
focus on practical implementations. Several example designs will be used t
o explore the tools and techniques available for creating IoT applications
including advanced GUIs\, networking connectivity and debug consoles. Stu
dents can use the free design software or optionally follow along using a
s
tarter kit to see actual hardware in action. - July 25 -
Day 1: IoT Designs Using High Level Constructs
This cl
ass will review and provide implementation examples of a few of the key RT
OS capabilities appropriate for IoT applications. It will use the Renesas
Synergy Platform\, featured in Warren&rsquo\;s previous course. Students a
re strongly encouraged to view the previous course and download\, install
and use the free Renesas Synergy Software Package (SSP).
CATEGORIES:Education
UID:20160714T1733110Z-511394-940@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160726T170000
DTEND;TZID=America/Sao_Paulo:20160726T180000
SUMMARY:Implementing Advanced IoT Embedded Designs with the Renesas Synergy
Platform - A Hands-on Course - Day 2
DESCRIPTION:This new series extends on Warren Miller's previous course usin
g the Renesas Synergy Platform and the popular ThreadX RTOS. Leveraging th
e background from that course\, these classes will focus on practical impl
ementations. Several example designs will be used to explore the tools and
techniques available for creating IoT applications including advanced GUI
s\, networking connectivity and debug consoles. Students can use the free
design software or optionally follow along using a starter kit to see actu
al hardware in action. July 26 - Day 2: Implementing Networking Connectivi
ty using an RTOS and APIs Part 1 Networking connectivity is critical to Io
T designs. This class will show specific implementations\, using the Threa
dX RTOS and NetX features available within SSP. The basic elements needed
for Networking connectivity will be covered.
X-ALT-DESC;FMTTYPE=text/html:This new series extends on Warren Miller's p
revious course using the Renesas Synergy Platform and the popular Thre
adX RTOS. Leveraging the background from that course\, these classes will
focus on practical implementations. Several example designs will be used t
o explore the tools and techniques available for creating IoT applications
including advanced GUIs\, networking connectivity and debug consoles. Stu
dents can use the free design software or optionally follow along using a
s
tarter kit to see actual hardware in action.
CATEGORIES:Education
UID:20160714T1733470Z-511394-941@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160727T170000
DTEND;TZID=America/Sao_Paulo:20160727T180000
SUMMARY:Implementing Advanced IoT Embedded Designs with the Renesas Synergy
Platform - A Hands-on Course - Day 3
DESCRIPTION:This new series extends on Warren Miller's previous course usin
g the Renesas Synergy Platform and the popular ThreadX RTOS. Leveraging th
e background from that course\, these classes will focus on practical impl
ementations. Several example designs will be used to explore the tools and
techniques available for creating IoT applications including advanced GUI
s\, networking connectivity and debug consoles. Students can use the free
design software or optionally follow along using a starter kit to see actu
al hardware in action. July 27 - Day 3: Implementing Networking Connectivi
ty using an RTOS and APIs Part 2 This class expends on the previous one to
build some specific networking connectivity example projects. Students ca
n follow along using the free software or optionally purchase a kit to see
the actual designs in action.
X-ALT-DESC;FMTTYPE=text/html:This new series extends on Warren Miller's p
revious course using the Renesas Synergy Platform and the popular Thre
adX RTOS. Leveraging the background from that course\, these classes will
focus on practical implementations. Several example designs will be used t
o explore the tools and techniques available for creating IoT applications
including advanced GUIs\, networking connectivity and debug consoles. Stu
dents can use the free design software or optionally follow along using a
s
tarter kit to see actual hardware in action.
CATEGORIES:Education
UID:20160714T1734390Z-511394-942@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160728T170000
DTEND;TZID=America/Sao_Paulo:20160728T180000
SUMMARY:Implementing Advanced IoT Embedded Designs with the Renesas Synergy
Platform - A Hands-on Course - Day 4
DESCRIPTION:This new series extends on Warren Miller's previous course usin
g the Renesas Synergy Platform and the popular ThreadX RTOS. Leveraging th
e background from that course\, these classes will focus on practical impl
ementations. Several example designs will be used to explore the tools and
techniques available for creating IoT applications including advanced GUI
s\, networking connectivity and debug consoles. Students can use the free
design software or optionally follow along using a starter kit to see actu
al hardware in action. July 28 - Day 4: Advanced GUI Interfaces Part 1 Gra
phic User Interfaces are key elements in the IoT. This class will show spe
cific implementations\, using the ThreadX RTOS and GUIX features available
within SSP. The basic elements needed for creating a GUIX based user inte
rface will be covered.
X-ALT-DESC;FMTTYPE=text/html:This new series extends on Warren Miller's p
revious course using the Renesas Synergy Platform and the popular Thre
adX RTOS. Leveraging the background from that course\, these classes will
focus on practical implementations. Several example designs will be used t
o explore the tools and techniques available for creating IoT applications
including advanced GUIs\, networking connectivity and debug consoles. Stu
dents can use the free design software or optionally follow along using a
s
tarter kit to see actual hardware in action. - July 28 -
Day 4: Advanced GUI Interfaces Part 1
Graphic User Int
erfaces are key elements in the IoT. This class will show specific impleme
ntations\, using the ThreadX RTOS and GUIX features available within SSP.
The basic elements needed for creating a GUIX based user interface will be
covered.
CATEGORIES:Education
UID:20160714T1735230Z-511394-943@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160729T170000
DTEND;TZID=America/Sao_Paulo:20160729T180000
SUMMARY:Implementing Advanced IoT Embedded Designs with the Renesas Synergy
Platform - A Hands-on Course - Day 5
DESCRIPTION:This new series extends on Warren Miller's previous course usin
g the Renesas Synergy Platform and the popular ThreadX RTOS. Leveraging th
e background from that course\, these classes will focus on practical impl
ementations. Several example designs will be used to explore the tools and
techniques available for creating IoT applications including advanced GUI
s\, networking connectivity and debug consoles. Students can use the free
design software or optionally follow along using a starter kit to see actu
al hardware in action. July 29 - Day 5: Advanced GUI Interfaces Part 2 Thi
s class expends on the previous one to build some specific GUI example pro
jects. Students can follow along using the free software or optionally pur
chase a kit to see the actual designs in action.
X-ALT-DESC;FMTTYPE=text/html:This new series extends on Warren Miller's p
revious course using the Renesas Synergy Platform and the popular Thre
adX RTOS. Leveraging the background from that course\, these classes will
focus on practical implementations. Several example designs will be used t
o explore the tools and techniques available for creating IoT applications
including advanced GUIs\, networking connectivity and debug consoles. Stu
dents can use the free design software or optionally follow along using a
s
tarter kit to see actual hardware in action. - July 29 -
Day 5: Advanced GUI Interfaces Part 2
This class expen
ds on the previous one to build some specific GUI example projects. Studen
ts can follow along using the free software or optionally purchase a kit t
o see the actual designs in action.
CATEGORIES:Education
UID:20160714T1736000Z-511394-944@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160803T170000
DTEND;TZID=America/Sao_Paulo:20160803T180000
SUMMARY:(How Not to) Twist and Shout
DESCRIPTION: In-Car Communications technology enables safer conversati
ons on the highway and points the way to integrated automotive acoustics.
Travelling at high speed a car can create a noisy environment\, making it
hard to have a comfortable conversation with other occupants\, especially
between front and back seats. So\, if the voice of the driver could be pic
ked up by a microphone\, amplified\, and relayed to speakers in the back t
he dangerous need for the driver to twist around to shout to be heard woul
d be eliminated. In cars featuring a hands free telephony system the exist
ing microphone can be employed\, which is the main principle behind In-Car
Communications (ICC) technology. However\, there are many implementation
challenges and pitfalls that can confront those without the right signal p
rocessing software. In this webinar\, we will examine this valuable new fe
ature and the steps for successful implementation via a fresh and innovati
ve approach to audio signal processing in cars. Attend this webinar to lea
rn about: In-Car Communications: How a properly designed and implemented I
CC system can enhance the driving experience and provide valuable new feat
ures without adding hardware. Challenges: Managing the interplay between m
ultiple acoustic technologies running concurrently in the vehicle cabin. C
ost Savings: How innovative audio signal processing architectures for gene
ral purpose application processors can drive cost savings via hardware con
solidation. Speaker: Len Layton\, Global Business Development Manager\
, Acoustics\, QNX Software Systems Moderator: Brandon Lewis\, OpenSystems
Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; |
|
 \; In-Car Communications technology enables safer conversatio
ns on the highway and points the way to integrated automotive acoustics.
p> Travelling at high speed a car can create a noisy environment\, mak
ing it hard to have a comfortable conversation with other occupants\, espe
cially between front and back seats. So\, if the voice of the driver could
be picked up by a microphone\, amplified\, and relayed to speakers in the
back the dangerous need for the driver to twist around to shout to be hea
rd would be eliminated. In cars featuring a hands free telephony s
ystem the existing microphone can be employed\, which is the main principl
e behind In-Car Communications (ICC) technology. However\, there are many
implementation challenges and pitfalls that can confront those without the
right signal processing software. In this webinar\, we will exami
ne this valuable new feature and the steps for successful implementation v
ia a fresh and innovative approach to audio signal processing in cars.
Attend this webinar to learn about: - In-Car Com
munications: How a properly designed and implemented ICC system c
an enhance the driving experience and provide valuable new features withou
t adding hardware.
- Challenges: Managing the int
erplay between multiple acoustic technologies running concurrently in the
vehicle cabin.
- Cost Savings: How innovative aud
io signal processing architectures for general purpose application process
ors can drive cost savings via hardware consolidation.
&nb
sp\;  \; | Speaker: Len Layton\, Global Business
Development Manager\, Acoustics\, QNX Software Systems Moderator: \; Brandon Lewis\, Ope
nSystems Media | |  \;
CATEGORIES:Education
UID:20160714T1804120Z-511394-945@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160721T170000
DTEND;TZID=America/Sao_Paulo:20160721T180000
SUMMARY:Smart Enclosures using RFID for Inventory Management
DESCRIPTION:Automating the tracking of a collection of items (particularly
small items) represents a major industrial hurdle due to both tag size and
cost. To successfully address these hurdles\, the NASA Johnson Space Cent
er has developed a method for tracking collections of items in a smart con
tainer using radio-frequency identification (RFID) tags with a high level
of read accuracy. This smart enclosure innovation: • Tracks individu
al items in the smart containers or receptacles regardless of placement
• Employs traditional RFID cavities\, resonators\, and filters to provid
e a pervasive field distribution of energy • Achieves a high level of
read accuracy by using high electromagnetic field levels In this Webin
ar\, learn how this method successfully identifies more item level tags co
mpared to approaches in which the items are radiated by an incident plane
wave. We will also discuss how the use of high-level electromagnetic field
s reduces the cost of the tag antenna\, making it cost-effective to tag sm
aller items. For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Automating the tracking of a collection of
items (particularly small items) represents a major industrial hurdle due
to both tag size and cost.
To successfully address these hurd
les\, the NASA Johnson Space Center has developed a method for tracking co
llections of items in a smart container using radio-frequency identificati
on (RFID) tags with a high level of read accuracy. This smart encl
osure innovation:  \; |  \; | &nb
sp\; | &bull\; | Tracks individual items in the sma
rt containers or receptacles regardless of placement |  \;  \; | &b
ull\; | Employs traditional RFID cavities\, resonators\, and filters to provide
a pervasive field distribution of energy | &n
bsp\; |  \; | &bull\; |
Achieves
a high level of read accuracy by using high electromagnetic field levels<
/td> | |  \; |  \;<
/td> | In this Webinar\, learn how this method successfully identifies
more item level tags compared to approaches in which the items are radiate
d by an incident plane wave. We will also discuss how the use of high-leve
l electromagnetic fields reduces the cost of the tag antenna\, making it c
ost-effective to tag smaller items.
For more information and
to register\, click here. |
CATEGORIES:Education
UID:20160714T1805530Z-511394-946@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160721T130000
DTEND;TZID=America/Sao_Paulo:20160721T140000
SUMMARY:TEN° binning – the new OSRAM Opto Semiconductors 10° Binning
DESCRIPTION:When we measure the color coordinates of white light sources we
assume that the blue\, green and red cones in our eye\, which are respons
ible for color perception\, are evenly distributed and the color perceptio
n over various viewing angles is constant. But in reality this is not the
case and the pigment density varies significantly over the field of view s
ize. What You'll Learn: Understanding the problems of the current co
lor space for the binning of white LEDs. Learning about the latest color s
pace published by the CIE. Understanding the benefit of using the 10° obse
rver for measurement of white LEDs. Understanding the benefits of LEDs wit
h better color consistency due to the TEN° binning. Register Today!
X-ALT-DESC;FMTTYPE=text/html:When we measure the color coordinates of white light source
s we assume that the blue\, green and red cones in our eye\, which are res
ponsible for color perception\, are evenly distributed and the color perce
ption over various viewing angles is constant.
But in reality t
his is not the case and the pigment density varies significantly over the
field of view size.  \; &
nbsp\; What You'll Learn:  \; - Understanding the problems of the current color space for
the binning of white LEDs.
- Learning about the latest color space published b
y the CIE.
- Understanding the benefit of using the 10°\; observer for measu
rement of white LEDs.
- Understanding the benefits of LEDs with better color co
nsistency due to the TEN°\; binning.
 \;
Register Today!
 \;
CATEGORIES:Education
UID:20160714T1811360Z-511394-947@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160803T170000
DTEND;TZID=America/Sao_Paulo:20160803T180000
SUMMARY:Conquering Wideband Radar
DESCRIPTION: This webinar will focus on the challenges related to the adop
tion of wideband radar and techniques to master them. This hour long webin
ar features Tektronix expert Bill Byrom\, who will share his insights on t
he key factor to consider when simulating and analyzing wideband signals.
What You'll Learn: Why wideband radar signals are particularly diffi
cult to create and capture How to identify performance criteria for test i
nstrumentation that allow you to create high fidelity simulation environme
nts How to identify performance criteria for test instrumentation that all
ow you to capture and analyze difficult to detect wideband signals The ben
efits of real time signal analysis and signal record and playback features
Who Should Attend: RF/Radar design engineer RF/Radar test engineer Senio
r or Principal RF engineer Radar DSP design engineer Radar DSP test engine
er Radar design or test technician Register Today!
X-ALT-DESC;FMTTYPE=text/html: \; This webinar will focus on the challenges r
elated to the adoption of wideband radar and techniques to master them. Th
is hour long webinar features Tektronix expert Bill Byrom\, who will share
his insights on the key factor to consider when simulating and analyzing
wideband signals.  \; &nb
sp\; What You'll Learn:  \; - Why wideband radar signals are particularly difficult to cr
eate and capture
- How to identify performance criteria for test instrumentatio
n that allow you to create high fidelity simulation environments
- How to ident
ify performance criteria for test instrumentation that allow you to captur
e and analyze difficult to detect wideband signals
- The benefits of real time
signal analysis and signal record and playback features
 \;Who Should Attend: <
/span> - RF/Radar design engineer
- RF/Radar t
est engineer
- Senior or Principal RF engineer
- Radar DSP
design engineer
- Radar DSP test engineer
- Radar design
or test technician
 \; Register Today!  \;
CATEGORIES:Education
UID:20160721T1304040Z-511394-948@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160728T150000
DTEND;TZID=America/Sao_Paulo:20160728T160000
SUMMARY:Practical Approach for Signal Integrity Analysis of High Data Rate
Channels
DESCRIPTION: Why this webcast is important: As data rates increase\, and a
s PCB designs and interconnects become more complex\, traditional Signal I
ntegrity analysis tools such as SPICE and full-wave 3D EM simulators lack
sufficient accuracy\, speed\, and capacity. This webcast highlights vario
us technologies used for channel modeling\, each with advantages and disad
vantages\, by utilizing real world FPGA board routing and USB connector de
sign examples. Several state-of-the-art analysis technologies will be high
lighted to illustrate end-to-end modeling of high data rate channels that
include ICs\, PCB interconnects\, vias and connectors. Who should view th
is webcast: Signal Integrity Engineers Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: <
p> \; Why this webcast is important: As data rates increase\, and as PCB designs and interconnects
become more complex\, traditional Signal Integrity analysis tools such as
SPICE and full-wave 3D EM simulators lack sufficient accuracy\, speed\, a
nd capacity. \; This webcast highlights various technologies used for
channel modeling\, each with advantages and disadvantages\, by utilizing r
eal world FPGA board routing and USB connector design examples. Several st
ate-of-the-art analysis technologies will be highlighted to illustrate end
-to-end modeling of high data rate channels that include ICs\, PCB interco
nnects\, vias and connectors. \; Who should view
this webcast: Signal Integrity Engineers
CATEGORIES:Education
UID:20160721T1306270Z-511394-949@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160728T170000
DTEND;TZID=America/Sao_Paulo:20160728T180000
SUMMARY:Create The Internet of Your Things
DESCRIPTION: The Internet of Your Things is not about ripping and replac
ing technologies in your enterprise. It’s about leveraging what you have\,
adding on to your existing systems\, using your existing things in new wa
ys\, and innovating and optimizing so everything works better together. If
you’re a retailer\, think about how smarter POS terminals can increase cr
oss-selling and up-selling. If you’re in healthcare\, think about how conn
ecting patient monitors\, tablets\, signage and other equipment can stream
line patient care. For manufacturers\, sensors on the factory floor can “t
alk” to diagnostic monitors to improve production efficiency and reduce do
wn time. Microsoft believes the Internet of Things doesn’t have to be over
whelming. Businesses can start small\, with a few changes that make a big
impact. It’s not about the billions of things that can be connected\, it’s
about YOUR THINGS. And\, it’s already happening! Attend this webinar to l
earn how Microsoft Windows 10 IoT and Microsoft Azure IoT Hub enable a sin
gle platform\, secure and connected\, to accelerate the Internet of Your T
hings. Speaker: Bob Kilbride\, Channel Executive\, Windows IoT Device Ex
perience\, Microsoft Corporation Moderator: Curt Schwaderer\, OpenSystems
Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
 \; The Internet of Your Things is not about ripping and r
eplacing technologies in your enterprise. It&rsquo\;s about leveraging wha
t you have\, adding on to your existing systems\, using your existing thin
gs in new ways\, and innovating and optimizing so everything works better
together. If you&rsquo\;re a retailer\, think about how smarter POS termin
als can increase cross-selling and up-selling. If you&rsquo\;re in healthc
are\, think about how connecting patient monitors\, tablets\, signage and
other equipment can streamline patient care. For manufacturers\, sensors o
n the factory floor can &ldquo\;talk&rdquo\; to diagnostic monitors to imp
rove production efficiency and reduce down time. Microsoft believe
s the Internet of Things doesn&rsquo\;t have to be overwhelming. Businesse
s can start small\, with a few changes that make a big impact. It&rsquo\;s
not about the billions of things that can be connected\, it&rsquo\;s abou
t YOUR THINGS. And\, it&rsquo\;s already happening! Attend this we
binar to learn how Microsoft Windows 10 IoT and Microsoft Azure IoT Hub en
able a single platform\, secure and connected\, to accelerate the Internet
of Your Things.  \; | Speaker: Bob Kilbride\, C
hannel Executive\, Windows IoT Device Experience\, Microsoft Corporation
p> Moderator: \; Cu
rt Schwaderer\, OpenSystems Media | |
CATEGORIES:Education
UID:20160721T1308560Z-511394-950@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160721T170000
DTEND;TZID=America/Sao_Paulo:20160721T180000
SUMMARY:Getting Started with Collaborative Robots
DESCRIPTION:Join us for a free 60-minute webinar\, 'Getting Started with Co
llaborative Robots\,' with live Q&A on Thursday\, July 21\, at 2:00 PM EDT
. The introduction of collaborative robots has democratized the use of rob
otics in many manufacturing fields. Because of their human scaled features
\, ease of use and flexibility\, it is then more interesting to have one o
n the assembly line. The investment is also lower and the payback is faste
r than it used to be. Do all these advantages mean it is time to introduce
these co-workers to work alongside your human co-workers? Let's find out
in this webinar. You will learn: • What are collaborative robots and how
are they used in the real world? (applications\, ease of use\, safety) •
How manufacturers identify automation potential in their factory? • How to
get the workforce on-board with automation and robots?
X-ALT-DESC;FMTTYPE=text/html:Join us for a free 60-minute webinar\, 'Getting Started with Collaborative Robots\,' with live Q&
\;A on Thursday\, July 21\, at 2:00 PM EDT. The introduction of co
llaborative robots has democratized the use of robotics in many manufactur
ing fields. Because of their human scaled features\, ease of use and flexi
bility\, it is then more interesting to have one on the assembly line. The
investment is also lower and the payback is faster than it used to be. Do
all these advantages mean it is time to introduce these co-workers to wor
k alongside your human co-workers? Let's find out in this webinar.  \; | You will learn: |
&bull\; | What are collaborative robots and ho
w are they used in the real world? (applications\, ease of use\, safety)
td> | &bull\; | How manufacturers identi
fy automation potential in their factory? | &bull
\; | How to get the workforce on-board with automation and r
obots? | |  \;
CATEGORIES:Education
UID:20160721T1312540Z-511394-951@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160728T140000
DTEND;TZID=America/Sao_Paulo:20160728T150000
SUMMARY:Direct-Drive vs. Two-Stage Motors: Which technology should be on yo
ur next CTL?
DESCRIPTION:The compact track loader (CTL) market has been growing fast tha
nks to its versatility and performance. As these machines move towards hig
her speeds and horsepower\, which motor design reigns superior? When CTLs
first appeared in the market\, equipment manufacturers were forced to sele
ct from existing track motors\, which weren’t optimized for the machine an
d resulted in compromises. Even with innovations in both direct drive and
two-stage motors\, no motor technology emerged as superior – until now. In
this webinar\, attendees will learn about advancements in direct-drive mo
tor technology and how this has enabled direct-drive motors to be used in
CTLs\, producing smarter\, more efficient machine designs for today and th
e future. What You Will Learn: Gain a better understanding of the three cr
itical factors that affect motor design Compare the benefits and limitatio
ns of direct-drive and two-stage motors in CTLs Understand how Eaton’s new
HP50 Geroler® motor addresses the issues operators experience with their
current motor Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:The compact track loader (CT
L) market has been growing fast thanks to its versatility and performance.
As these machines move towards higher speeds and horsepower\, which motor
design reigns superior? When CTLs first appeared in the market\, equipmen
t manufacturers were forced to select from existing track motors\, which w
eren&rsquo\;t optimized for the machine and resulted in compromises. Even
with innovations in both direct drive and two-stage motors\, no motor tech
nology emerged as superior &ndash\; until now. In this webinar\, attendees
will learn about advancements in direct-drive motor technology and how th
is has enabled direct-drive motors to be used in CTLs\, producing smarter\
, more efficient machine designs for today and the future. What You Will Learn: - Gain a better understanding of the three
critical factors that affect motor design
- Compare the benefits and limitations of direct-drive and two-stage
motors in CTLs
- Understand how Eaton
&rsquo\;s new HP50 Geroler®\; motor addresses the issues operators expe
rience with their current motor
CATEGORIES:Education
UID:20160721T1327190Z-511394-952@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160808T170000
DTEND;TZID=America/Sao_Paulo:20160808T180000
SUMMARY:Getting Hands On with Arduino Mechatronics - Day 1
DESCRIPTION:Mechatronics is an interdisciplinary field that encompasses mec
hanical\, electrical-electronics\, and software engineering fields to crea
te intelligent machines. This week long course will show you how to build
mechatronics devices using the Arduino and a few off the shelf electrical-
electronic components. Experimental circuits to drive electric motors\, pi
ezo buzzer\, LCDs\, read temperature\, voltage\, and light data will be ex
plored. An introduction to PLCs will also be provided along with a final p
roject of building a Desktop Industrial Automation Trainer. August 8 - D
ay 1: Introduction\, Driving LCDs and Audio Alarms with an Arduino A discu
ssion on Mechatronics\, with examples\, will be presented. Also\, two hand
s-on activities that participants can do at home will illustrate the audib
le and visual devices that can be used in Mechatronics warning systems. Th
e LCD and a Piezo Buzzer components will be used with an Arduino for the h
ands-on learning activities.
X-ALT-DESC;FMTTYPE=text/html:Mechatronics
is an interdisciplinary field that encompasses mechanical\, electrical-ele
ctronics\, and software engineering fields to create intelligent machines.
This week long course will show you how to build mechatronics devices usi
ng the Arduino and a few off the shelf electrical-electronic components. E
xperimental circuits to drive electric motors\, piezo buzzer\, LCDs\, read
temperature\, voltage\, and light data will be explored. An introduction
to PLCs will also be provided along with a final project of building a Des
ktop Industrial Automation Trainer.
 \;
- August 8 - Day 1: Introduction\,
Driving LCDs and Audio Alarms with an Arduino
A discus
sion on Mechatronics\, with examples\, will be presented. Also\, two hands
-on activities that participants can do at home will illustrate the audibl
e and visual devices that can be used in Mechatronics warning systems. The
LCD and a Piezo Buzzer components will be used with an Arduino for the ha
nds-on learning activities.
CATEGORIES:Education
UID:20160727T1831400Z-511394-953@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160809T170000
DTEND;TZID=America/Sao_Paulo:20160809T180000
SUMMARY:Getting Hands On with Arduino Mechatronics - Day 2
DESCRIPTION:Mechatronics is an interdisciplinary field that encompasses mec
hanical\, electrical-electronics\, and software engineering fields to crea
te intelligent machines. This week long course will show you how to build
mechatronics devices using the Arduino and a few off the shelf electrical-
electronic components. Experimental circuits to drive electric motors\, pi
ezo buzzer\, LCDs\, read temperature\, voltage\, and light data will be ex
plored. An introduction to PLCs will also be provided along with a final p
roject of building a Desktop Industrial Automation Trainer. August 9 - D
ay 2: Understanding Transistor Motor Drivers Day two session will focus on
driving high DC/AC current electromechanical components with low current
DC driver. To illustrate this design method\, participants will learn how
to build a DC Motor Driver using a Power MOSFET. Power MOSFET basics inclu
ding how to wire them to a dc motor will be discussed in this session.
X-ALT-DESC;FMTTYPE=text/html:Mechatronics
is an interdisciplinary field that encompasses mechanical\, electrical-ele
ctronics\, and software engineering fields to create intelligent machines.
This week long course will show you how to build mechatronics devices usi
ng the Arduino and a few off the shelf electrical-electronic components. E
xperimental circuits to drive electric motors\, piezo buzzer\, LCDs\, read
temperature\, voltage\, and light data will be explored. An introduction
to PLCs will also be provided along with a final project of building a Des
ktop Industrial Automation Trainer.
 \;
- August 9 - Day 2: Understanding
Transistor Motor Drivers
Day two session will focus on
driving high DC/AC current electromechanical components with low current D
C driver. To illustrate this design method\, participants will learn how t
o build a DC Motor Driver using a Power MOSFET. Power MOSFET basics includ
ing how to wire them to a dc motor will be discussed in this session.
CATEGORIES:Education
UID:20160727T1832140Z-511394-954@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160810T170000
DTEND;TZID=America/Sao_Paulo:20160810T180000
SUMMARY:Getting Hands On with Arduino Mechatronics - Day 3
DESCRIPTION:Mechatronics is an interdisciplinary field that encompasses mec
hanical\, electrical-electronics\, and software engineering fields to crea
te intelligent machines. This week long course will show you how to build
mechatronics devices using the Arduino and a few off the shelf electrical-
electronic components. Experimental circuits to drive electric motors\, pi
ezo buzzer\, LCDs\, read temperature\, voltage\, and light data will be ex
plored. An introduction to PLCs will also be provided along with a final p
roject of building a Desktop Industrial Automation Trainer. August 10 -
Day 3: Understanding Stepper and Servo Motors Stepper and servo motors are
key electromechanical components for Motion Control products. Today\, par
ticipants will explore Motion Control driver circuits by building and expe
rimenting with basic Arduino based Stepper and Servo Motor Controllers.
X-ALT-DESC;FMTTYPE=text/html:Mechatronics
is an interdisciplinary field that encompasses mechanical\, electrical-ele
ctronics\, and software engineering fields to create intelligent machines.
This week long course will show you how to build mechatronics devices usi
ng the Arduino and a few off the shelf electrical-electronic components. E
xperimental circuits to drive electric motors\, piezo buzzer\, LCDs\, read
temperature\, voltage\, and light data will be explored. An introduction
to PLCs will also be provided along with a final project of building a Des
ktop Industrial Automation Trainer.
 \;
- August 10 - Day 3: Understanding
Stepper and Servo Motors
Stepper and servo motors are
key electromechanical components for Motion Control products. Today\, part
icipants will explore Motion Control driver circuits by building and exper
imenting with basic Arduino based Stepper and Servo Motor Controllers.
CATEGORIES:Education
UID:20160727T1832590Z-511394-955@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160811T170000
DTEND;TZID=America/Sao_Paulo:20160811T180000
SUMMARY:Getting Hands On with Arduino Mechatronics - Day 4
DESCRIPTION:Mechatronics is an interdisciplinary field that encompasses mec
hanical\, electrical-electronics\, and software engineering fields to crea
te intelligent machines. This week long course will show you how to build
mechatronics devices using the Arduino and a few off the shelf electrical-
electronic components. Experimental circuits to drive electric motors\, pi
ezo buzzer\, LCDs\, read temperature\, voltage\, and light data will be ex
plored. An introduction to PLCs will also be provided along with a final p
roject of building a Desktop Industrial Automation Trainer. August 11 -
Day 4: Introduction to PLCs The intelligent industrial controller that is
at the center of robotics and automation is the PLC (Programmable Logic Co
ntroller). In this hands-on discussion\, participants will learn PLC I/O a
nd CPU Architecture basics. The hands-on activity will illustrate how to p
rogram and test a PLC in Ladder Logic code using an input simulator.
X-ALT-DESC;FMTTYPE=text/html:Mechatronics
is an interdisciplinary field that encompasses mechanical\, electrical-ele
ctronics\, and software engineering fields to create intelligent machines.
This week long course will show you how to build mechatronics devices usi
ng the Arduino and a few off the shelf electrical-electronic components. E
xperimental circuits to drive electric motors\, piezo buzzer\, LCDs\, read
temperature\, voltage\, and light data will be explored. An introduction
to PLCs will also be provided along with a final project of building a Des
ktop Industrial Automation Trainer.
 \;
- August 11 - Day 4: Introduction
to PLCs
The intelligent industrial controller that is a
t the center of robotics and automation is the PLC (Programmable Logic Con
troller). In this hands-on discussion\, participants will learn PLC I/O an
d CPU Architecture basics. The hands-on activity will illustrate how to pr
ogram and test a PLC in Ladder Logic code using an input simulator.
CATEGORIES:Education
UID:20160727T1833360Z-511394-956@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160812T170000
DTEND;TZID=America/Sao_Paulo:20160812T180000
SUMMARY:Getting Hands On with Arduino Mechatronics - Day 5
DESCRIPTION:Mechatronics is an interdisciplinary field that encompasses mec
hanical\, electrical-electronics\, and software engineering fields to crea
te intelligent machines. This week long course will show you how to build
mechatronics devices using the Arduino and a few off the shelf electrical-
electronic components. Experimental circuits to drive electric motors\, pi
ezo buzzer\, LCDs\, read temperature\, voltage\, and light data will be ex
plored. An introduction to PLCs will also be provided along with a final p
roject of building a Desktop Industrial Automation Trainer. August 12 -
Day 5: Building the Desktop Automation Trainer In this final session\, par
ticipants will learn how to build a Desktop Automation Trainer. The Arduin
o will be configured as a programmable input simulator to stimulate the PL
C Ladder Logic code to operate a dc motor.
X-ALT-DESC;FMTTYPE=text/html:Mechatronics
is an interdisciplinary field that encompasses mechanical\, electrical-ele
ctronics\, and software engineering fields to create intelligent machines.
This week long course will show you how to build mechatronics devices usi
ng the Arduino and a few off the shelf electrical-electronic components. E
xperimental circuits to drive electric motors\, piezo buzzer\, LCDs\, read
temperature\, voltage\, and light data will be explored. An introduction
to PLCs will also be provided along with a final project of building a Des
ktop Industrial Automation Trainer.
 \;
CATEGORIES:Education
UID:20160727T1834070Z-511394-957@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160822T170000
DTEND;TZID=America/Sao_Paulo:20160822T180000
SUMMARY:Build a Powerful IoT Network with the PHOTON Board - A Hands-on Cou
rse - Day 1
DESCRIPTION: A number of small\, inexpensive Wi-Fi node boards are availabl
e for building IoT (Internet of Things) networks\, but most have proprieta
ry processor cores\, limiting the development environments that are availa
ble. An exception is the PHOTON board\, which incorporates an ST MicroSTM3
2F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\, 128KB RAM with a Broadcom
BCM43362 802.11b/g/n Wi-Fi chip -- all in a small board for around $20. In
this course\, taught by Charles Lord\, we will explore this versatile lit
tle board and demonstrate programming it to build some useful networks.
August 22 - Day 1: Introduction to Particle PHOTON Board In this leadoff c
lass\, we will look at the structure of the PHOTON board – its architectur
e\, the chips used\, and the features built into the board. We will also l
ook at the infrastructure that the manufacturer has established for the bo
ard and that we will use for the remainder of the week.
X-ALT-DESC;FMTTYPE=text/html: \;A number of small\, inexpensive Wi-F
i node boards are available for building IoT (Internet of Things) networks
\, but most have proprietary processor cores\, limiting the development en
vironments that are available. An exception is the PHOTON board\, which in
corporates an ST MicroSTM32F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\,
128KB RAM with a Broadcom BCM43362 802.11b/g/n Wi-Fi chip -- all in a smal
l board for around $20. In this course\, taught by Charles Lord\,
we will explore this versatile little board and demonstrate programming i
t to build some useful networks.  \; - August 22 - Day 1: Introduction to Particle PHOTON Bo
ard
In this leadoff class\, we will look at the structu
re of the PHOTON board &ndash\; its architecture\, the chips used\, and th
e features built into the board. We will also look at the infrastructure t
hat the manufacturer has established for the board and that we will use fo
r the remainder of the week.
CATEGORIES:Education
UID:20160811T1649400Z-511394-958@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160823T170000
DTEND;TZID=America/Sao_Paulo:20160823T180000
SUMMARY:Build a Powerful IoT Network with the PHOTON Board - A Hands-on Cou
rse - Day 2
DESCRIPTION: A number of small\, inexpensive Wi-Fi node boards are availabl
e for building IoT (Internet of Things) networks\, but most have proprieta
ry processor cores\, limiting the development environments that are availa
ble. An exception is the PHOTON board\, which incorporates an ST MicroSTM3
2F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\, 128KB RAM with a Broadcom
BCM43362 802.11b/g/n Wi-Fi chip -- all in a small board for around $20. In
this course\, taught by Charles Lord\, we will explore this versatile lit
tle board and demonstrate programming it to build some useful networks.
August 23 - Day 2: Starting to Use PHOTON For our second class\, we will d
ownload the smartphone app for the board and learn about basic commissioni
ng and testing of the board. We will perform some basic functions using th
e sample applications available in the maker domain.
X-ALT-DESC;FMTTYPE=text/html: \;A number of small\, inexpensive Wi-F
i node boards are available for building IoT (Internet of Things) networks
\, but most have proprietary processor cores\, limiting the development en
vironments that are available. An exception is the PHOTON board\, which in
corporates an ST MicroSTM32F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\,
128KB RAM with a Broadcom BCM43362 802.11b/g/n Wi-Fi chip -- all in a smal
l board for around $20. In this course\, taught by Charles Lord\,
we will explore this versatile little board and demonstrate programming i
t to build some useful networks.  \; - August 23 - Day 2: Starting to Use PHOTON
For our second class\, we will download the smartphone app for the
board and learn about basic commissioning and testing of the board. We wi
ll perform some basic functions using the sample applications available in
the maker domain.
CATEGORIES:Education
UID:20160811T1649520Z-511394-959@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160824T170000
DTEND;TZID=America/Sao_Paulo:20160824T180000
SUMMARY:Build a Powerful IoT Network with the PHOTON Board - A Hands-on Cou
rse - Day 3
DESCRIPTION: A number of small\, inexpensive Wi-Fi node boards are availabl
e for building IoT (Internet of Things) networks\, but most have proprieta
ry processor cores\, limiting the development environments that are availa
ble. An exception is the PHOTON board\, which incorporates an ST MicroSTM3
2F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\, 128KB RAM with a Broadcom
BCM43362 802.11b/g/n Wi-Fi chip -- all in a small board for around $20. In
this course\, taught by Charles Lord\, we will explore this versatile lit
tle board and demonstrate programming it to build some useful networks.
August 24 - Day 3: Azure and the Cloud For our third class\, we will delve
into the use of the cloud for our IoT applications for the PHOTON by sett
ing up a simple and free Azure account and teaching our board to talk to i
t.
X-ALT-DESC;FMTTYPE=text/html: \;A number of small\, inexpensive Wi-F
i node boards are available for building IoT (Internet of Things) networks
\, but most have proprietary processor cores\, limiting the development en
vironments that are available. An exception is the PHOTON board\, which in
corporates an ST MicroSTM32F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\,
128KB RAM with a Broadcom BCM43362 802.11b/g/n Wi-Fi chip -- all in a smal
l board for around $20. In this course\, taught by Charles Lord\,
we will explore this versatile little board and demonstrate programming i
t to build some useful networks.  \; - August 24 - Day 3: Azure and the Cloud <
br />For our third class\, we will delve into the use of the cloud for our
IoT applications for the PHOTON by setting up a simple and free Azure acc
ount and teaching our board to talk to it.
CATEGORIES:Education
UID:20160811T1650030Z-511394-960@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160825T170000
DTEND;TZID=America/Sao_Paulo:20160825T180000
SUMMARY:Build a Powerful IoT Network with the PHOTON Board - A Hands-on Cou
rse - Day 4
DESCRIPTION: A number of small\, inexpensive Wi-Fi node boards are availabl
e for building IoT (Internet of Things) networks\, but most have proprieta
ry processor cores\, limiting the development environments that are availa
ble. An exception is the PHOTON board\, which incorporates an ST MicroSTM3
2F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\, 128KB RAM with a Broadcom
BCM43362 802.11b/g/n Wi-Fi chip -- all in a small board for around $20. In
this course\, taught by Charles Lord\, we will explore this versatile lit
tle board and demonstrate programming it to build some useful networks.
August 25 - Day 4: Programming the PHOTON Board Online In this penultimate
class\, we will take what we learned so far and write some beginning code
using the free online Integrated Development Environment (IDE)\, as well
as a version that can run on our own computers. We will then look at ways
that we can use the node in our local Internet of Things.
X-ALT-DESC;FMTTYPE=text/html: \;A number of small\, inexpensive Wi-F
i node boards are available for building IoT (Internet of Things) networks
\, but most have proprietary processor cores\, limiting the development en
vironments that are available. An exception is the PHOTON board\, which in
corporates an ST MicroSTM32F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\,
128KB RAM with a Broadcom BCM43362 802.11b/g/n Wi-Fi chip -- all in a smal
l board for around $20. In this course\, taught by Charles Lord\,
we will explore this versatile little board and demonstrate programming i
t to build some useful networks.  \; - August 25 - Day 4: Programming the PHOTON Board Onlin
e
In this penultimate class\, we will take what we lear
ned so far and write some beginning code using the free online Integrated
Development Environment (IDE)\, as well as a version that can run on our o
wn computers. We will then look at ways that we can use the node in our lo
cal Internet of Things.
CATEGORIES:Education
UID:20160811T1650160Z-511394-961@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160826T170000
DTEND;TZID=America/Sao_Paulo:20160826T180000
SUMMARY:Build a Powerful IoT Network with the PHOTON Board - A Hands-on Cou
rse - Day 5
DESCRIPTION: A number of small\, inexpensive Wi-Fi node boards are availabl
e for building IoT (Internet of Things) networks\, but most have proprieta
ry processor cores\, limiting the development environments that are availa
ble. An exception is the PHOTON board\, which incorporates an ST MicroSTM3
2F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\, 128KB RAM with a Broadcom
BCM43362 802.11b/g/n Wi-Fi chip -- all in a small board for around $20. In
this course\, taught by Charles Lord\, we will explore this versatile lit
tle board and demonstrate programming it to build some useful networks.
August 26 - Day 5: Advanced Coding Now that we have written some code usin
g the online and simple IDE tools\, we will look at using some familiar ID
Es and writing ‘bare metal’ and even RTOS-based code for the STM chip on o
ur PHOTON board.
X-ALT-DESC;FMTTYPE=text/html: \;A number of small\, inexpensive Wi-F
i node boards are available for building IoT (Internet of Things) networks
\, but most have proprietary processor cores\, limiting the development en
vironments that are available. An exception is the PHOTON board\, which in
corporates an ST MicroSTM32F205RGY6 120Mhz ARM Cortex M3 with 1MB flash\,
128KB RAM with a Broadcom BCM43362 802.11b/g/n Wi-Fi chip -- all in a smal
l board for around $20. In this course\, taught by Charles Lord\,
we will explore this versatile little board and demonstrate programming i
t to build some useful networks.  \; - August 26 - Day 5: Advanced Coding
Now that we have written some code using the online and simple IDE tools\
, we will look at using some familiar IDEs and writing &lsquo\;bare metal&
rsquo\; and even RTOS-based code for the STM chip on our PHOTON board.
CATEGORIES:Education
UID:20160811T1650270Z-511394-962@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160810T140000
DTEND;TZID=America/Sao_Paulo:20160810T150000
SUMMARY:Aerial surveillance – a look at the latest cameras and technologies
DESCRIPTION:Multiple camera technologies\, from the ultraviolet\, through v
isible to infrared\, can be deployed with GPS and INS systems to perform a
erial surveillance in such applications as agriculture\, power line monito
ring\, and security applications. On August 10\, Andrew Bodkin\, Principal
\, Bodkin Design & Engineering\, will provide an overview on the different
types of surveillance systems\, as well as the types of imaging systems t
hat can be used in these systems. What You'll Learn: The different typ
es of surveillance platforms (aircraft\, unmanned\, drones\, etc.) and ima
ging systems How to interface to GPS and tracking systems How to produce 2
D or 3D multispectral images Types of software that can be used Typical ap
plications and implementations\, and new opportunities Who Should Attend
: Designers\, integrators\, or users of aerial surveillance systems Those
interested in using aerial surveillance systems Those interested in learni
ng about the latest imaging technologies for aerial surveillance Click H
ere to Register
X-ALT-DESC;FMTTYPE=text/html:Multiple camera technologies\
, from the ultraviolet\, through visible to infrared\, can be deployed wit
h GPS and INS systems to perform aerial surveillance in such applications
as agriculture\, power line monitoring\, and security applications. On Aug
ust 10\, Andrew Bodkin\, Principal\, Bodkin Design &\; Engineering\, wi
ll provide an overview on the different types of surveillance systems\, as
well as the types of imaging systems that can be used in these systems.
span>  \;
p> What You'll Learn:  \; - <
span style='font-family: arial\; font-size: small\;'> The different types
of surveillance platforms (aircraft\, unmanned\, drones\, etc.) and imagin
g systems
- How to interface to GPS and tracking systems
- How to produce 2D or 3D m
ultispectral images
- Types of software that can be used
- Typical applications and
implementations\, and new opportunities
 \; Who Shoul
d Att
end:
- Designers\, integrators\, or users of aerial surveillance systems
span>
- Thos
e interested in using aerial surveillance systems
- Those interested in learnin
g about the latest imaging technologies for aerial surveillance
 \; <
span style='font-family: verdana\,arial\,sans-serif\; font-size: xx-small\
;'>C
lick Here to Register
CATEGORIES:Education
UID:20160727T1842360Z-511394-963@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160810T160000
DTEND;TZID=America/Sao_Paulo:20160810T170000
SUMMARY:Making Advanced Jitter Analysis Measurements
DESCRIPTION:Why this webcast is important: To characterize high-speed seria
l buses\, jitter measurements are key to determine the quality of the sign
al being transmitted and provide a quick view of the bit error ratio (BER)
that the links support. The measurement of jitter is a sophisticated proc
ess taking into account receiver clock recovery\, knowledge of phase locke
d loops (PLLs)\, jitter decomposition techniques\, effects of crosstalk an
d waveform statistics in order to produce a fairly accurate jitter decompo
sition model for the real world designs. This webcast will review the deta
ils and considerations for making advanced jitter measurements to increase
your confidence level in your jitter separation results. Who should atten
d: Engineers who need to use oscilloscopes to characterize the jitter in t
heir digital designs. Don't miss this live webcast!
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is
important: To characterize high-speed serial buses\, jitter
measurements are key to determine the quality of the signal being transmi
tted and provide a quick view of the bit error ratio (BER) that the links
support. The measurement of jitter is a sophisticated process taking into
account receiver clock recovery\, knowledge of phase locked loops (PLLs)\,
jitter decomposition techniques\, effects of crosstalk and waveform stati
stics in order to produce a fairly accurate jitter decomposition model for
the real world designs. This webcast will review the details and consider
ations for making advanced jitter measurements to increase your confidence
level in your jitter separation results. W
ho should attend: Engineers who need to use oscilloscopes t
o characterize the jitter in their digital designs.<
strong>
CATEGORIES:Education
UID:20160803T1654060Z-511394-964@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160824T170000
DTEND;TZID=America/Sao_Paulo:20160824T180000
SUMMARY:Fog Computing is the Future of the Industrial IoT
DESCRIPTION: In the last century\, transportation\, medical\, power\, and
industrial systems were built from individual devices\, typically programm
ed one at a time. The Industrial IoT (IIoT) will change all that. The IIoT
transforms isolated programmable devices into intelligent networks of con
nected machines. Examples abound\, including autonomous cars\, intelligent
drones\, smart grid power systems\, automated air traffic control\, conne
cted medical devices\, and robotic oil drilling. The cloud is a component
in these systems\, but it is hardly primary. The intelligent software that
drives these systems must also reside in the field at the 'edge.' There i
s no time\, bandwidth or reason to send the data from these devices to a d
ata center. Instead\, the compute must come to the devices. This design is
called 'fog computing.' Fog computing will bring autonomy\, scale\, and i
ntelligence to systems across the industrial landscape. Fog computing requ
ires fast\, flexible\, pervasive data availability. The Data Distribution
Service (DDS) standard was built for autonomy and information. Its 'data s
cience' approach is critical technology for fog computing. Thousands of re
al-world projects already use DDS in a wide variety of applications. DDS p
rovides the scalability\, speed\, security\, and safety required by truly
critical industrial systems. Fog computing is already driving the evolutio
n of the IIoT. These intelligent field systems will disrupt nearly every a
spect of the industrial landscape. Join this webinar to learn how to take
advantage of the fog to compete in the Industrial IoT. Speaker: Dr. Stan S
chneider\, CEO\, RTI Moderator: Brandon Lewis\, OpenSystems Media REGISTE
R NOW
X-ALT-DESC;FMTTYPE=text/html: | <
/td> | In the last century\, transportation\, medical\
, power\, and industrial systems were built from individual devices\, typi
cally programmed one at a time. The Industrial IoT (IIoT) will change all
that. The IIoT transforms isolated programmable devices into intelligent n
etworks of connected machines. Examples abound\, including autonomous cars
\, intelligent drones\, smart grid power systems\, automated air traffic c
ontrol\, connected medical devices\, and robotic oil drilling. The
cloud is a component in these systems\, but it is hardly primary. The int
elligent software that drives these systems must also reside in the field
at the 'edge.' There is no time\, bandwidth or reason to send the data fro
m these devices to a data center. Instead\, the compute must come to the d
evices. This design is called 'fog computing.' Fog computing will
bring autonomy\, scale\, and intelligence to systems across the industrial
landscape. Fog computing requires fast\, flexible\, pervasive data availa
bility. The Data Distribution Service (DDS) standard was built for autonom
y and information. Its 'data science' approach is critical technology for
fog computing. Thousands of real-world projects already use DDS in a wide
variety of applications. DDS provides the scalability\, speed\, security\,
and safety required by truly critical industrial systems. Fog com
puting is already driving the evolution of the IIoT. These intelligent fie
ld systems will disrupt nearly every aspect of the industrial landscape. J
oin this webinar to learn how to take advantage of the fog to compete in t
he Industrial IoT. |
Speaker: Dr. Stan Schn
eider\, CEO\, RTI Moderator: 
\; Brandon Lewis\, OpenSystems Media |
REGISTER NOW | |  \;
CATEGORIES:Education
UID:20160803T1655290Z-511394-965@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160818T170000
DTEND;TZID=America/Sao_Paulo:20160818T180000
SUMMARY:Leveraging Network Building Blocks and Cisco Validated Designs for
Industrial Ethernet Deployment
DESCRIPTION:Leveraging Network Building Blocks and Cisco Validated Designs
for Industrial Ethernet Deployment Thursday\, August 18\, 2016 at 11 a.m.
PT/1 p.m. CT/2 p.m. ET Attendees qualify for a Certificate of Completion C
lick here to register now at no cost. Ethernet deployment has been explodi
ng on the plant floor with the arrival of Industrial Internet of Things (I
IoT). This has driven a major shift from Industrial Automation Control Sys
tem (IACS) to industrial Ethernet Switch (IES) architectures. As a result
of this shift\, new challenges and topologies are emerging. This webcast r
eveals the advantages of using network building blocks based on Cisco Vali
dated Designs (CVDs) to simplify and secure industrial Ethernet deployment
. Learning Objectives: How to transition from Industrial Automation Contro
l System centric system to an Industrial Ethernet Architecture Understandi
ng the application of network building blocks based on Cisco Validated Des
igns Best practices in physical network deployment. Speakers: Presenter: A
ndy Banathy\, Business Development Manager\, Industrial Automation\, Pandu
it Corp. Moderator: Mark T. Hoske\, Content Manager and Editor for Control
Engineering
X-ALT-DESC;FMTTYPE=text/html: Leveraging Network Building Blocks and Cisco Validated Designs for I
ndustrial Ethernet Deployment Thursday\, August 18\, 2016 at 11 a.m. PT/1 p.m. CT/2 p.m. ET Atte
ndees qualify for a Certificate of Completion Click here to register now at no cost.
a> Ethernet deployment has been exploding on the pla
nt floor with the arrival of Industrial Internet of Things (IIoT). This ha
s driven a major shift from Industrial Automation Control System (IACS) to
industrial Ethernet Switch (IES) architectures. As a result of this shift
\, new challenges and topologies are emerging. This webcast reveals the ad
vantages of using network building blocks based on Cisco Validated Designs
(CVDs) to simplify and secure industrial Ethernet deployment. Learning Objectives: - How to transition from I
ndustrial Automation Control System centric system to an Industrial Ethern
et Architecture
- Understanding the application of network buildin
g blocks based on Cisco Validated Designs
- Best practices in phys
ical network deployment.
Sp
eakers: | Presenter: Andy Banathy\, Business Development
Manager\, Industrial Automation\, Panduit Corp. |
| Moderator: Mark T. H
oske\, Content Manager and Editor for Control Engineering
td> |
CATEGORIES:Education
UID:20160803T1703090Z-511394-966@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160811T170000
DTEND;TZID=America/Sao_Paulo:20160811T180000
SUMMARY:Ray Optics Simulations with COMSOL Multiphysics®
DESCRIPTION:The Ray Optics Module of COMSOL Multiphysics®: software can be
used to simulate electromagnetic wave propagation in optically large syste
ms\, where the wavelength is much smaller than any geometric entity. Notab
le features include built-in options to compute ray intensity\; multiphysi
cs couplings for industrial-grade applications\, including structural and
thermal effects\; a wide variety of ray release mechanisms and boundary co
nditions\; and postprocessing tools to analyze ray polarization and monoch
romatic aberrations. The webinar will include a live demo in the software
and a Q&A session. What You'll Learn: How to use COMSOL Multiphysics w
ith the Ray Optics Module to model ray propagation in optically large syst
ems. Which criteria can be used to decide whether a ray tracing approach o
r another computational method should be used. How to select the appropria
te boundary conditions\, ray release features\, and variables to compute f
or a given application. How to use built-in evaluation tools to analyze an
d report simulation results About the Application Library examples that ar
e included with the Ray Optics Module. Who Should Attend: Engineers and re
searchers who: design or use devices such as laser focusing systems\, spec
trometers\, cameras\, or telescopes. manipulate light with prisms\, lenses
\, beam splitters\, or gratings. design devices to redirect and focus sola
r radiation. Click Here to Register
X-ALT-DESC;FMTTYPE=text/html:The Ray Optics Module of COMS
OL Multiphysics®\;: software can be used to simulate electromagnetic wa
ve propagation in optically large systems\, where the wavelength is much s
maller than any geometric entity. Notable features include built-in option
s to compute ray intensity\; multiphysics couplings for industrial-grade a
pplications\, including structural and thermal effects\; a wide variety of
ray release mechanisms and boundary conditions\; and postprocessing tools
to analyze ray polarization and monochromatic aberrations. The webinar wi
ll include a live demo in the software and a Q&\;A session.  \; What You'll Learn
:  \;
- How to use COMSOL Multiphysics with the Ray Optics Module
to model ray propagation in optically large systems.
- Which criteria can be used to decide whether a ray tracing approach
or another computational method should be used.
- How to select the appropriate boundary conditions\, ray release features
\, and variables to compute for a given application.
- How to use built-in evaluation tools to analyze and report simulatio
n results
- About the Application Library example
s that are included with the Ray Optics Module.
Who Should
Atte
nd: Engin
eers and researchers who: - design or use devices such as laser focusin
g systems\, spectrometers\, cameras\, or telescopes.
- manipulate
light with prisms\, lenses\, beam splitters\, or gratings.
- desig
n devices to redirect and focus solar radiation.
 \;
p> Click Here to Reg
ister  \;
CATEGORIES:Education
UID:20160803T1706290Z-511394-967@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160818T160000
DTEND;TZID=America/Sao_Paulo:20160818T170000
SUMMARY:Create a Curve Tracer with BenchVue
DESCRIPTION:Why this webcast is important: Keysight offers a powerful softw
are tool - BenchVue - for engineers in industry as well as instructional l
aboratories. With support for hundreds of Keysight instruments typically
found in electrical engineering labs and the ability to set up remote labs
that are accessible over the Internet\, BenchVue enables easy control\, d
ata capture\, logging\, monitoring\, and report generation - all without r
equiring any programming. We will demonstrate the overall capability of
BenchVue including how to control your essential bench and enhance remote
teaching and learning before we dive deeper into a specific application -
characterizing transistors. With BenchVue controlling the B29xxA Source/Me
asure Unit\, student learners can create a transistor curve tracer. In th
is session\, you will learn how to tackle and solve the toughest electroni
c design and test challenges. We will cover: BenchVue introduction and ho
w it can be used in an educational setting Control of the essential bench
Remote teaching and learning Education bundle Introduction to the B29xxA P
ower Source/SMU How to gather component data manually using the BenchVue P
ower Supply App How to automate the process\, creating the curve trace for
a 2N3904 NPN transistor using Test Flow How to export the trace data and
images into Excel and Word Q&A *Forward to a student or university contact
who would be interested. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important: Keysi
ght offers a powerful software tool - BenchVue - for engineers in industry
as well as instructional laboratories. \; With support for hundreds o
f Keysight instruments typically found in electrical engineering labs and
the ability to set up remote labs that are accessible over the Internet\,
BenchVue enables easy control\, data capture\, logging\, monitoring\, and
report generation - all without requiring any programming. \; \;
p> We will demonstrate the overall capability of Bench
Vue including how to control your essential bench and enhance remote teach
ing and learning before we dive deeper into a specific application - chara
cterizing transistors. With BenchVue controlling the B29xxA Source/Measure
Unit\, student learners can create a transistor curve tracer. \;
In this session\, you will learn how to tackle
and solve the toughest electronic design and test challenges. \; We will cover: - BenchVue introdu
ction and how it can be used in an educational setting
- Control of the essential bench
- Remote tea
ching and learning
- Education bundle
- Introduction to the B29xxA Power Source/SMU
- How to gather component data manually using the BenchVue Power S
upply App
- How to automate the process\, creating
the curve trace for a 2N3904 NPN transistor using Test Flow
- How to export the trace data and images into Excel and Word
li>
- Q&\;A
*Forward to a student or university contact who would be inte
rested. Register now for this live webcast <
/div>
CATEGORIES:Education
UID:20160804T1721190Z-511394-968@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160926T170000
DTEND;TZID=America/Sao_Paulo:20160926T180000
SUMMARY:Ingredients for Baked-In IoT Security\, from Edge to Cloud
DESCRIPTION: We’ve all seen the headlines: IoT security can’t be an aftert
hought. We also know that good security starts with a root of trust. But w
here do you start and finish when architecting systems that span from pote
ntially thousands of end points to the vast expanse of the cloud? Trusted
platform modules and execution environments\, hypervisors and isolation\,
and encryption and authentication all play a role in building comprehensiv
e security into an IoT system. On the other hand\, stitching device\, netw
ork\, and cloud security together effectively is a task even global organi
zations struggle to achieve on time\, under budget\, and with any consiste
nt level of success. Tune in as this assemblage of technology veterans ill
ustrates best practices for baking security into the layer cake of IoT\, e
nabling systems that are protected from edge node to data center. Both com
mon and advanced cyber threats will be considered\, followed by strategies
and implementations that ensure the end-to-end integrity of your IoT depl
oyment. Sponsors: Avnet\, GrammaTech\, Lexumo\, Xively Moderator: Curt Sc
hwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | We&rsquo\;ve all seen the headlines: IoT security can&rsquo\;t
be an afterthought. We also know that good security starts with a root of
trust. But where do you start and finish when architecting systems that s
pan from potentially thousands of end points to the vast expanse of the cl
oud? Trusted platform modules and execution environments\, hypervisors and
isolation\, and encryption and authentication all play a role in building
comprehensive security into an IoT system. On the other hand\, stitching
device\, network\, and cloud security together effectively is a task even
global organizations struggle to achieve on time\, under budget\, and with
any consistent level of success. Tune in as this assemblage of te
chnology veterans illustrates best practices for baking security into the
layer cake of IoT\, enabling systems that are protected from edge node to
data center. Both common and advanced cyber threats will be considered\, f
ollowed by strategies and implementations that ensure the end-to-end integ
rity of your IoT deployment. | Sponsors: Av
net\, GrammaTech\, Lexumo\, Xively Moderator: \; Curt Schwaderer\, OpenSystems Media
| |  \;
CATEGORIES:Education
UID:20160804T1723240Z-511394-969@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160823T150000
DTEND;TZID=America/Sao_Paulo:20160823T160000
SUMMARY:Three aspects of security for the Software-Defined Car
DESCRIPTION:Please join Certicom\, the crypto expert in the BlackBerry Tech
nology Solutions family\, for a 60 minute webinar\, demo\, and Q & A focus
ing on three important elements of security for the emerging software-defi
ned car\, namely: Securing the supply chain Public Key Infrastructure (P
KI) certificate management Software-defined car with a trust anchor (live
demo) Jim Alfred\, Certicom’s VP\, and business development manager\, Bill
Boldt\, will introduce some of the ways Certicom helps companies\, govern
ments\, and other organizations become not just secure\, but BlackBerry Se
cure. » Register Today
X-ALT-DESC;FMTTYPE=text/html: Please join Certicom\, the crypto expert in
the BlackBerry Technology Solutions family\, for a 60 minute webinar\, de
mo\, and Q &\; A focusing on three important elements of security for t
he emerging software-defined car\, namely:  \; -
Securing the supply chain
- Public Key Infrastructure (PKI) certif
icate management
- Software-defined car with a trust anchor (live
demo)
Jim Alfred\, Certicom&rsquo\;s VP\, and business dev
elopment manager\, Bill Boldt\, will introduce some of the ways Certicom h
elps companies\, governments\, and other organizations become not just sec
ure\, but BlackBerry Secure. »\; Register Today
a>
CATEGORIES:Education
UID:20160811T1644540Z-511394-970@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160928T140000
DTEND;TZID=America/Sao_Paulo:20160928T150000
SUMMARY:Setting the New Standard for MCU Performance While Minimizing Energ
y Consumption
DESCRIPTION: Today’s advanced sensor systems often require complex filteri
ng and signal conditioning operations to be performed. These operations of
ten require a high clock rate to crunch the numbers\, but wouldn’t it be g
reat if we could maintain an ultra-low level of energy consumption while p
rocessing complex vector math operations? In this webinar we will take a l
ook at TI’s new Low Energy Accelerator peripheral that offers a 10 fold re
duction in energy compared to leading 32-bit MCU’s\, the MSP430FR5994 is s
etting a new standard for ultra-low-power processing. Speaker: Dave Smith\
, Product Marketing Manager\, MSP430 FRAM-based microcontrollers (MCUs)\,
Texas Instruments Moderator: Brandon Lewis\, OpenSystems Media REGISTER N
OW
X-ALT-DESC;FMTTYPE=text/html: | | <
/tr> Today&rsquo\;s advanced sensor systems often require c
omplex filtering and signal conditioning operations to be performed. These
operations often require a high clock rate to crunch the numbers\, but wo
uldn&rsquo\;t it be great if we could maintain an ultra-low level of energ
y consumption while processing complex vector math operations? In this web
inar we will take a look at TI&rsquo\;s new Low Energy Accelerator periphe
ral that offers a 10 fold reduction in energy compared to leading 32-bit M
CU&rsquo\;s\, the MSP430FR5994 is setting a new standard for ultra-low-pow
er processing. | Speaker: Dave Smith\, Prod
uct Marketing Manager\, MSP430 FRAM-based microcontrollers (MCUs)\,
Texas Instruments Moderator: 
\; Brandon Lewis\, OpenSystems Media |
REGISTER NOW | |  \;
CATEGORIES:Education
UID:20160811T1646280Z-511394-971@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160825T170000
DTEND;TZID=America/Sao_Paulo:20160825T180000
SUMMARY:Understanding New Robotics Trends So You Don’t Fall Behind
DESCRIPTION:If you work with robots\, you’ve noticed them getting faster\,
and more advanced in their overall capabilities. At the same time\, they h
ave increasingly stringent uptime requirements. But it’s not just the robo
ts that keep evolving. Attendees will learn about the new trends in advanc
ed robotics\, as well as some tips for properly specifying and installing
cables into your robot. What You'll Learn: Why Robot cables are specifical
ly designed to withstand torsion/flexing loads Why flexible as well as con
tinuous flex cables are not the right choice for robotic applications What
the impact of new robot installation is on demand for robot cables Why cu
stomized cables are required for specific robot applications Register no
w for this live webcast
X-ALT-DESC;FMTTYPE=text/html: If you work wit
h robots\, you&rsquo\;ve noticed them getting faster\, and more advanced i
n their overall capabilities. At the same time\, they have increasingly st
ringent uptime requirements. But it&rsquo\;s not just the robots that keep
evolving. Attendees will learn about the new trends in advanced robotics\
, as well as some tips for properly specifying and installing cables into
your robot. What You'll Learn:
- Why Robot cables are specifically designed to w
ithstand torsion/flexing loads
- Why flexible as we
ll as continuous flex cables are not the right choice for robotic applicat
ions
- What the impact of new robot installation is
on demand for robot cables
- Why customized cables
are required for specific robot applications
 \;
CATEGORIES:Education
UID:20160811T1648450Z-511394-972@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160908T170000
DTEND;TZID=America/Sao_Paulo:20160908T180000
SUMMARY:How to Design Distributed Robotic Control Systems
DESCRIPTION: A growing number of advanced robotics applications require ti
ght coordination of multiple systems and devices. Sensors\, actuators\, ma
nipulators and mobile platforms all must communicate with each other to me
et the demanding requirements of today's emerging robotics applications. J
oin the experts from RTI and Energid as they discuss how the Data Distribu
tion Service (DDS) standard can be used to enable complex robotics applica
tions. This webinar will also examine a wide range of common challenges of
designing modern robotic systems\, based on real-life lessons learned fro
m NASA’s Human Robotic Systems project and MIRO Lab\, an advanced robotic
assistance research laboratory. Specific use cases will be discussed that
cover applications in robotic oil drilling (with some of the world’s large
st robots)\, space exploration (rover simulations for NASA) and advanced m
anipulation (with lightweight dexterous tabletop robots). Speakers: Doug B
arker\, Senior Robotic Systems Engineer\, Energid Dr. Edwin de Jong\, RTI
Moderator: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | |
A growing number of advanced robotics applications r
equire tight coordination of multiple systems and devices. Sensors\, actua
tors\, manipulators and mobile platforms all must communicate with each ot
her to meet the demanding requirements of today's emerging robotics applic
ations. Join the experts from RTI and Energid as they discuss how the Data
Distribution Service (DDS) standard can be used to enable complex robotic
s applications. This webinar will also examine a wide range of com
mon challenges of designing modern robotic systems\, based on real-life le
ssons learned from NASA&rsquo\;s Human Robotic Systems project and MIRO La
b\, an advanced robotic assistance research laboratory. Specific use cases
will be discussed that cover applications in robotic oil drilling (with s
ome of the world&rsquo\;s largest robots)\, space exploration (rover simul
ations for NASA) and advanced manipulation (with lightweight dexterous tab
letop robots). | Speakers: Doug Barker\, Se
nior Robotic Systems Engineer\, Energid Dr. Edwin de Jong\, RTI
Moderator: \; Curt
Schwaderer\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20160811T1653020Z-511394-973@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160823T160000
DTEND;TZID=America/Sao_Paulo:20160823T170000
SUMMARY:Understanding Coherence in Optical Coatings
DESCRIPTION:Coherence is a concept we use to indicate the strength of inter
ference fringes. When interference effects are at their strongest we descr
ibe the case as coherent. This account attempts to explain the concept of
coherence in straightforward terms\, emphasizing optical coatings and conc
entrating on understanding while minimizing any mathematical aspects. Wh
at You'll Learn: Overview of coherence theory Coherence as an optical sy
stem property Relating coherence to coating performance Examples Who Sho
uld Attend: Optical engineering Design engineering Test engineering Manufa
cturing/production engineering Engineering management REGISTER NOW!
X-ALT-DESC;FMTTYPE=text/html: Coherence is a concept we use
to indicate the strength of interference fringes. When interference effec
ts are at their strongest we describe the case as coherent. This account a
ttempts to explain the concept of coherence in straightforward terms\, emp
hasizing optical coatings and concentrating on understanding while minimiz
ing any mathematical aspects.  \; What You'll Learn
:  \;
- Ove
rview of coherence theory
- Coherence as an optical system property
- Relating coher
ence to coating performance
- Examples
 \; Who Should Attend:
- Optical engineering<
/li>
- Design eng
ineering
- Test engineering
- Manufacturing/production engineering
-
Engineering manageme
nt
 \;REGISTER NOW!  \;
CATEGORIES:Education
UID:20160811T1655410Z-511394-974@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160818T170000
DTEND;TZID=America/Sao_Paulo:20160818T180000
SUMMARY:Discover How Companies Take Control of Design Processes
DESCRIPTION:Change is all around us. But many product development companies
struggle to manage change because of outdated product lifecycle managemen
t processes — even when it’s evident those archaic methods increase errors
and risk. The fear of the unknown paralyzes some companies with the idea
that while their current design system is challenging…change can be worse.
On Thursday\, August 18th\, join PRG’s CEO Mike Keer\, Clearpath Robotics
’ Director of Hardware Engineering Robert Shaw and Arena’s Senior Solution
s Architect Alan Goodrich for a webinar that examines how innovative compa
nies leverage best practices to manage change and to reduce risk\, lower c
ost and maximize product development success. In this webinar\, you’ll dis
cover: The most common barriers that prohibit companies from changing\, ad
apting and evolving their processes. How Clearpath Robotics utilized a mod
ern PLM solution to streamline their processes\, accelerate their developm
ent process and increase control of their supply chain. Why hundreds of in
novative companies chose Arena PLM for a competitive advantage. Discover h
ow more companies are taking control of their design processes. Register n
ow for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Change is all a
round us. But many product development companies struggle to manage change
because of outdated product lifecycle management processes &mdash\; even
when it&rsquo\;s evident those archaic methods increase errors and risk. T
he fear of the unknown paralyzes some companies with the idea that while t
heir current design system is challenging&hellip\;change can be worse. On
Thursday\, August 18th\, join PRG&rsquo\;s CEO Mike Keer\, Clearpath Robot
ics&rsquo\; Director of Hardware Engineering Robert Shaw and Arena&rsquo\;
s Senior Solutions Architect Alan Goodrich for a webinar that examines how
innovative companies leverage best practices to manage change and to redu
ce risk\, lower cost and maximize product development success. In this webinar\, you&rsquo\;ll discover:
- The most common barriers that prohibit companie
s from changing\, adapting and evolving their processes.
- How Clearpath Robotics utilized a modern PLM solution to streamlin
e their processes\, accelerate their development process and increase cont
rol of their supply chain.
- Why hundreds of innova
tive companies chose Arena PLM for a competitive advantage.
<
p class='style3'>Discover how more companies are taking control of their d
esign processes.
CATEGORIES:Education
UID:20160811T1658300Z-511394-975@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160811T170000
DTEND;TZID=America/Sao_Paulo:20160811T180000
SUMMARY:Smart Agriculture – Developing IoT Appliances for Outdoor Environme
nts
DESCRIPTION:The Internet of Things is bringing far-reaching change to many
fields\, and agriculture is no exception. Farmers are using the IoT to inc
rease production\, monitor livestock\, reduce costs\, and make better use
of natural resources in just about every aspect of their operations. This
course\, targeted at design engineers and developers of connected devices\
, will explore the new IoT technologies\, discuss some key design consider
ations when adding IoT functionality\, and spotlight a number of different
agricultural applications from the field to the cloud. Register now for t
his webcast
X-ALT-DESC;FMTTYPE=text/html: The Internet of Things is br
inging far-reaching change to many fields\, and agriculture is no exceptio
n. Farmers are using the IoT to increase production\, monitor livestock\,
reduce costs\, and make better use of natural resources in just about ever
y aspect of their operations. This course\, targeted at design engineers a
nd developers of connected devices\, will explore the new IoT technologies
\, discuss some key design considerations when adding IoT functionality\,
and spotlight a number of different agricultural applications from the fie
ld to the cloud. <
div align='center'> Register now for this webc
ast
CATEGORIES:Education
UID:20160811T1659520Z-511394-976@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160823T170000
DTEND;TZID=America/Sao_Paulo:20160823T180000
SUMMARY:Rapid Manufacturing: Not Just for Prototypes
DESCRIPTION:Prototyping processes such as 3D printing\, CNC machining and f
orms of injection molding have been known to produce great prototypes in l
ow quantities. In this Webinar\, we will discuss 3D printing and low-volum
e injection molding and how rapid manufacturing is changing the landscape
of traditional manufacturing. We will look closely at each process as well
as exactly how rapid manufacturing is done. You will learn: • How
to use 3D printing to build complex geometries that otherwise are impossi
ble to manufacture • What types of 3D printing processes are well-
suited for end-use production • How rapid manufacturing is acceler
ating product development and reducing risks For more information an
d to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Prototyping processes such as 3D printing\,
CNC machining and forms of injection molding have been known to produce g
reat prototypes in low quantities.
In this Webinar\, we will
discuss 3D printing and low-volume injection molding and how rapid manufac
turing is changing the landscape of traditional manufacturing. We will loo
k closely at each process as well as exactly how rapid manufacturing is do
ne.
You will learn:  \; |  \; |  \; |  \; | &bull\; | How to use 3D print
ing to build complex geometries that otherwise are impossible to manufactu
re |  \; |  \; |  \;  \;<
/td> | &bull\; | What types of 3D printing processes are well-suited
for end-use production |  \; |  \; |  \; |  \; | &bull\; | How rapid manufacturing is accel
erating product development and reducing risks |  \; |  \; |  \; |
For more information and to register\, click here.
CATEGORIES:Education
UID:20160811T1701340Z-511394-977@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160823T170000
DTEND;TZID=America/Sao_Paulo:20160823T180000
SUMMARY:Bringing Secure\, Scalable\, Flexible\, and Faster Time to Market S
olutions Today
DESCRIPTION: Attend this interactive webinar with Robert Mueller\, Intel’s
Product Line Manager\, where he provides an overview of Intel’s Gateway a
long with the innovative Intel® IoT Gateway Software Suite\, and the Intel
® IoT Gateway Developer Hub. Watch and learn how to quickly prototype and
deploy end-to-end IoT solutions using your laptop. Find out what online re
sources are already available. The contents from this session will be prem
iered at Intel’s Developer Forum. If you can’t make it to San Francisco\,
make sure you make it to this webinar. Specific Technical Topics include:
• Creation of Node-Red based sensor data acquisition flow • Export of sens
or data to cloud services for various cloud providers including Wind River
Helix Device Cloud • Cloud Commander for on-target software development a
nd file management • Network Configuration with LuCI • Easy solution proto
typing using the Intel IoT Commercial Developer Kit and Grove Starter Kit
Click below to register for this free course.
X-ALT-DESC;FMTTYPE=text/html: \;<
/p> Attend this interactive webinar with Robert Mueller\, Intel&rsquo\
;s Product Line Manager\, where he provides an overview of Intel&rsquo\;s
Gateway along with the innovative Intel®\; IoT Gateway Software Suite\,
and the Intel®\; IoT Gateway Developer Hub. Watch and learn how to qui
ckly prototype and deploy end-to-end IoT solutions using your laptop. Find
out what online resources are already available. The contents from this s
ession will be premiered at Intel&rsquo\;s Developer Forum. If you can&rsq
uo\;t make it to San Francisco\, make sure you make it to this webinar. Specific Technical Topics include: &bu
ll\; Creation of Node-Red based sensor data acquisition flow &bull\
; Export of sensor data to cloud services for various cloud providers incl
uding Wind River Helix Device Cloud &bull\; Cloud Commander for on-
target software development and file management &bull\; Network Con
figuration with LuCI &bull\; Easy solution prototyping using the In
tel IoT Commercial Developer Kit and Grove Starter Kit Click
below to register for this free course.
CATEGORIES:Education
UID:20160811T1713060Z-511394-978@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160830T160000
DTEND;TZID=America/Sao_Paulo:20160830T170000
SUMMARY: Debugging Serial Buses with InfiniiVision Oscilloscopes
DESCRIPTION:Why this webcast is important: Learn how an oscilloscope can be
used to debug serial buses in embedded designs. We will cover how to set
up serial decode triggering and then capture and analyze buses including I
²C\, I²S\, UART/RS-232\, SPI\, USB\, and CAN. Both basic and challenging e
xamples will be illustrated including how to trigger on error conditions a
nd how to isolate infrequent glitches in serial bus designs Who should att
end: Design and test engineers that need quick insight of serial bus perfo
rmance and error conditions. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important
: Learn how an oscilloscope can be used to debug serial buses in emb
edded designs. We will cover how to set up serial decode triggering and th
en capture and analyze buses including I²\;C\, I²\;S\, UART/RS-232
\, SPI\, USB\, and CAN. Both basic and challenging
examples will be illustrated including how to trigger on error conditions
and how to isolate infrequent glitches in serial bus designs Who should attend: Design and test engineers that need quick insight of serial bus performan
ce and error conditions. Register now for this live w
ebcast
CATEGORIES:Education
UID:20160818T1839140Z-511394-979@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160830T170000
DTEND;TZID=America/Sao_Paulo:20160830T180000
SUMMARY:Get to Market Smarter – Choosing the Right Embedded PC Solution
DESCRIPTION: Sponsored by: REGISTER NOW A PC is a PC\, right. The answer i
s\, it depends and not all Embedded PCs are created equal. If your applica
tion is something that you’re betting your business on\, then the answer i
s a loud and clear NO. You need as much assurance as possible that you’ve
got a backbone that’s going to be up and running on your schedule. While t
he embedded computing market is growing fast\, according to Technavio stud
y\, it’s growth is hindered by long lead times\, low device reliability\,
limited scalability\, lack of security and manageability options and limit
ed support. Join this webinar to learn how choosing the right partner can
help you get your solutions to market not only faster but also smarter. Ho
w can the right embedded PC solution can help you gain a competitive edge\
, delight your customers help you win in the marketplace. Speakers: Joe Ba
stulli\, IoT & Embedded Specialist\, Dell Aaron Shaw\, Sr. Product Manager
\, Dell Commercial IoT Solutions Moderator: Brandon Lewis\, OpenSystems M
edia REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
tr> A PC is a PC\, right. The answer is\, it depends and no
t all Embedded PCs are created equal. If your application is something tha
t you&rsquo\;re betting your business on\, then the answer is a loud and c
lear NO. You need as much assurance as possible that you&rsquo\;ve got a b
ackbone that&rsquo\;s going to be up and running on your schedule. While t
he embedded computing market is growing fast\, according to Technavio stud
y\, it&rsquo\;s growth is hindered by long lead times\, low device reliabi
lity\, limited scalability\, lack of security and manageability options an
d limited support. Join this webinar to learn how choosing the rig
ht partner can help you get your solutions to market not only faster but a
lso smarter. How can the right embedded PC solution can help you gain a co
mpetitive edge\, delight your customers help you win in the marketplace.
p> | Speakers: Joe Bastulli\, IoT &\; Embedd
ed Specialist\, Dell Aaron Shaw\, Sr. Product Manager\, Dell Commerc
ial IoT Solutions Moderator: 
\; Brandon Lewis\, OpenSystems Media |
REGISTER NOW | |  \;
CATEGORIES:Education
UID:20160818T1840350Z-511394-980@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160830T170000
DTEND;TZID=America/Sao_Paulo:20160830T180000
SUMMARY:Compact Vibration Damper
DESCRIPTION:NASA Langley Research Center has developed a compact tuned damp
er that reduces vibration occurring at a fixed frequency. Structural vibra
tions frequently need to be damped to prevent damage to a structure. Tuned
dampers reduce vibration of the base structure by the dissipation of ener
gy. The magnitude of the dissipated energy is proportional to the square o
f the displacement or velocity of the tuned mass\, which in turn is propor
tional to the range of motion. In this Webinar\, NASA experts discuss how
the damper design allows for 2x-3x greater range of motion than convention
al devices and 4x-9x more effectiveness. You will also learn how the dampe
r can be made small enough for use in wind tunnel tests or scaled up to la
rge sizes\, like those used in helicopters\, wind turbines\, or skyscraper
s. For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:NASA Langley Research Center has developed
a compact tuned damper that reduces vibration occurring at a fixed frequen
cy.
Structural vibrations frequently need to be damped to pre
vent damage to a structure. Tuned dampers reduce vibration of the base str
ucture by the dissipation of energy. The magnitude of the dissipated energ
y is proportional to the square of the displacement or velocity of the tun
ed mass\, which in turn is proportional to the range of motion. In
this Webinar\, NASA experts discuss how the damper design allows for 2x-3
x greater range of motion than conventional devices and 4x-9x more effecti
veness. You will also learn how the damper can be made small enough for us
e in wind tunnel tests or scaled up to large sizes\, like those used in he
licopters\, wind turbines\, or skyscrapers.  \; |
 \; |  \; | For more information and to re
gister\, click here. |
 \;
CATEGORIES:Education
UID:20160818T1843500Z-511394-981@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20161005T140000
DTEND;TZID=America/Sao_Paulo:20161005T150000
SUMMARY:Functional Safety for PLCs and IO Controllers
DESCRIPTION: In this webinar\, Texas Instruments industrial systems engine
ers will discuss functional safety standards and how they apply to Program
mable Logic Controllers (PLCs) and IO controllers that are used in factory
automation applications and other industrial machines. Benefits from diff
erent functional safety concepts and architectures will be shown\, and we
will demonstrate how Hercules™ MCUs help make complying with industrial fu
nctional safety standards easier. Speakers: Roy Haley\, Hercules Marketing
Manager\, Texas Instruments Christian Herget\, System and Application Eng
ineer\, Texas Instrumentss Moderator: Brandon Lewis\, OpenSystems Media R
EGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | | In this webinar\, Te
xas Instruments industrial systems engineers will discuss functional safet
y standards and how they apply to Programmable Logic Controllers (PLCs) an
d IO controllers that are used in factory automation applications and othe
r industrial machines. Benefits from different functional safety concepts
and architectures will be shown\, and we will demonstrate how Hercules&tra
de\; MCUs help make complying with industrial functional safety standards
easier. | Speakers: Roy Haley\, Hercules Ma
rketing Manager\, Texas Instruments Christian Herget\, System and Ap
plication Engineer\, Texas Instrumentss <
strong>Moderator: \; Brandon Lewis\, OpenSystems Media
p> | |  \;
CATEGORIES:Education
UID:20160826T1802340Z-511394-982@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160831T170000
DTEND;TZID=America/Sao_Paulo:20160831T180000
SUMMARY:Emerging Technologies and Standards Driving the Connected Home
DESCRIPTION:The growth potential associated with the digital transformation
of the home is substantial in terms of smart appliances\, integrated cont
rol sub-systems and robust connectivity. Attend this technical webinar to
learn about the emerging standards competing to enable interoperability in
the home and the underlying technologies supporting the deployment. In ad
dition to the market overview and technology alignment\, specific applicat
ion examples will be shared. Register now for this webcast
X-ALT-DESC;FMTTYPE=text/html:The growth potential associated with the di
gital transformation of the home is substantial in terms of smart applianc
es\, integrated control sub-systems and robust connectivity. Attend this t
echnical webinar to learn about the emerging standards competing to enable
interoperability in the home and the underlying technologies supporting t
he deployment. In addition to the market overview and technology alignment
\, specific application examples will be shared.
CATEGORIES:Education
UID:20160826T1804340Z-511394-983@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160901T150000
DTEND;TZID=America/Sao_Paulo:20160901T160000
SUMMARY:Overcoming UAV Operational Hurdles with Technology Solutions
DESCRIPTION:Overview: Sponsored by Analog Devices and Avnet. The potential
for growth in the Unmanned Aerial Vehicle (UAV) market is considerable wi
th 1000s of new entrants into the market over the past 12 months. This gro
wth is presently jeopardized by operational concerns and government regula
tions required for UAVs to co-exist in worldwide airspaces. This series di
scusses technology addressing collision avoidance\, airframe/payload long
term stability\, reliable communications and high quality performance. Who
Should Attend: Anyone involved in the design\, production\, manufacturing
\, test\, acquisition or operation of Unmanned Systems. The presentation w
ill be technical enough to explain engineering concepts but will still be
understandable to a pilot\, marketer\, programmer or designer.
X-ALT-DESC;FMTTYPE=text/html:Overvie
w: Sponsored by Analog Devices and Avnet. \; \;The potential for growth in the Unmanned Aerial Vehicle
(UAV) market is considerable with 1000s of new entrants into the market o
ver the past 12 months. This growth is presently jeopardized by operationa
l concerns and government regulations required for UAVs to co-exist in wor
ldwide airspaces. This series discusses technology addressing collision av
oidance\, airframe/payload long term stability\, reliable communications a
nd high quality performance. Who Should Attend: Anyone involved in the d
esign\, production\, manufacturing\, test\, acquisition or operation of Un
manned Systems. The presentation will be technical enough to explain engin
eering concepts but will still be understandable to a pilot\, marketer\, p
rogrammer or designer. |  \; |  \; |  \; |  \; |  \; |  \; | |  \; |  \
;
CATEGORIES:Education
UID:20160826T1806380Z-511394-984@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160921T140000
DTEND;TZID=America/Sao_Paulo:20160921T150000
SUMMARY:Future-ready Your Digital Appliance
DESCRIPTION: Sponsored by: REGISTER NOW OEM’s are transforming their busin
ess in the digital age\, as comprehensive information is available in a mo
ment’s notice. In addition\, traditional customers are demand different de
livery models. While they’re still exploring traditional appliances\, they
’re looking for solutions that are virtualized\, converged\, and Hyper-Con
verged. Dell OEM ensures that such suppliers are future ready whether thei
r solution is an appliance\, in the cloud\, or anything in between. Speake
rs: Jeffrey Sullivan\, OEM Server Marketing Lead\, Dell Jared Wilson\, OEM
Server Product Manager\, Dell Moderator: Rich Nass\, OpenSystems Media R
EGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; | | Sponsored by: | OEM&rsquo\;s are transforming their
business in the digital age\, as comprehensive information is available in
a moment&rsquo\;s notice. In addition\, traditional customers are demand
different delivery models. While they&rsquo\;re still exploring traditiona
l appliances\, they&rsquo\;re looking for solutions that are virtualized\,
converged\, and Hyper-Converged. Dell OEM ensures that such suppliers are
future ready whether their solution is an appliance\, in the cloud\, or a
nything in between. |
Speakers: Jeffrey Sul
livan\, OEM Server Marketing Lead\, Dell Jared Wilson\, OEM Server P
roduct Manager\, Dell Moderator:&
nbsp\; Rich Nass\, OpenSystems Media |
REGISTER NOW | | |
 \;
CATEGORIES:Education
UID:20160826T1810020Z-511394-985@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160831T130000
DTEND;TZID=America/Sao_Paulo:20160831T140000
SUMMARY:Catch Low-power Simulation Bugs Earlier and Faster with Verdi Power
-Aware Debug
DESCRIPTION: Synopsys Power Verification & Analysis Webinar Series – 4 par
t series Web event: Catch low-power simulation bugs earlier and faster wit
h Verdi Power-Aware Debug (Part 2 of 4) Date: August 31\, 2016 Time:10:00
AM PDT Duration: 60 minutes Each new generation of consumer electronics de
vices is expected to have longer battery life than the previous one. Even
high end servers compete on low power consumption and cooling costs. This
power reduction is made possible by a sophisticated power architecture who
se verification\, especially debug\, poses a significant challenge given t
he disjoint nature of the implementation - design specification written in
RTL and power architecture specified via UPF. In this webinar\, we will d
emonstrate how Verdi Power-Aware Debug greatly simplifies low-power debug
and identifies potential design-killing bugs earlier and faster\, with a u
nified and comprehensive view of the design and its power intent. Specific
ally\, you will learn: How visualization of the power architecture can hel
p identify power strategy and connectivity issues upfront How to use annot
ated power intent on source code\, schematics and waveforms to rapidly roo
t-cause power-related errors back to UPF/RTL How to debug unexpected desig
n behavior such as Xs caused by incorrect power-up/down sequences etc.
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | Synopsys Power Verification &
\; Analysis Webinar Series &ndash\; 4 part series Web event:
Catch low-power simulation bugs earlier and faster with Verdi Po
wer-Aware Debug (Part 2 of 4) Date: August 31\, 2016
Time:10:00 AM PDT
Duration: 60 minutes
Each new generation of consumer electronics dev
ices is expected to have longer battery life than the previous one. Even h
igh end servers compete on low power consumption and cooling costs. This p
ower reduction is made possible by a sophisticated power architecture whos
e verification\, especially debug\, poses a significant challenge given th
e disjoint nature of the implementation - design specification written in
RTL and power architecture specified via UPF. In this webinar\, we wi
ll demonstrate how Verdi Power-Aware Debug greatly simplifies low-power de
bug and identifies potential design-killing bugs earlier and faster\, with
a unified and comprehensive view of the design and its power intent. Spec
ifically\, you will learn:
- How visualization of the power a
rchitecture can help identify power strategy and connectivity issues upfro
nt
- How to use annotated power intent on source code\, schematics
and waveforms to rapidly root-cause power-related errors back to UPF/RTL<
/li>
- How to debug unexpected design behavior such as Xs caused by inc
orrect power-up/down sequences etc.
|
CATEGORIES:Education
UID:20160826T1813570Z-511394-986@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160907T150000
DTEND;TZID=America/Sao_Paulo:20160907T160000
SUMMARY: New Use Cases and Advantages of MIPI Specifications in Mobile\, Au
tomotive and IoT SoCs
DESCRIPTION:Attend this webinar if you are designing SoCs for mobile\, auto
motive\, IoT applications\; require camera\, display and sensor interfaces
\; need to meet stringent performance and power targets. The MIPI Camera S
erial Interface (CSI-2) and Display Serial Interface (DSI) are now used in
new applications beyond mobile with various use cases. In this webinar\,
we will briefly explain each specification and their key advantages\, give
examples of camera and display use cases in new applications like multipl
e Rx and Tx image streams to create a flexible and re-usable architecture.
You will also learn about MIPI's new I3C specification\, its advantages f
or multiple sensor connectivity including image sensors. Learn how to meet
your mobile\, automotive and IoT design targets and required camera\, dis
play and sensor interface needs. Attend this webinar to learn about: Use
cases and advantages of MIPI CSI-2\, DSI specifications in mobile\, automo
tive and IoT applications The new MIPI I3C specification and its advantage
s for standardizing sensor connectivity Meeting design targets and requir
ed camera\, display and sensor interface needs Who should attend? SoC desi
gners\, design managers\, R&D teams REGISTER
X-ALT-DESC;FMTTYPE=text/html: A
ttend this webinar if you are designing SoCs for mobile\, automotive\, IoT
applications\; require camera\, display and sensor interfaces\; need to m
eet stringent performance and power targets. The MIPI Camera Serial Interf
ace (CSI-2) and Display Serial Interface (DSI) are now used in new applica
tions beyond mobile with various use cases. In this webinar\, we will brie
fly explain each specification and their key advantages\, give examples of
camera and display use cases in new applications like multiple Rx and Tx
image streams to create a flexible and re-usable architecture. You will al
so learn about MIPI's new I3C specification\, its advantages for multiple
sensor connectivity including image sensors. Learn how to meet your mobile
\, automotive and IoT design targets and required camera\, display and sen
sor interface needs. \; Attend this webinar to learn a
bout: - Use cases and advantages of MIPI CSI-2\, DSI
specifications in mobile\, automotive and IoT applications
- The
new MIPI I3C specification and its advantages for standardizing sensor con
nectivity \;
- Meeting design targets and required camera\, di
splay and sensor interface needs
Who should attend
? SoC designers\, design managers\, R&\;D teams &
nbsp\; REGISTER
CATEGORIES:Education
UID:20160826T1816150Z-511394-987@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160915T130000
DTEND;TZID=America/Sao_Paulo:20160915T140000
SUMMARY:Understanding Flame Detection: How to Protect Your Facility from Fi
re Hazards
DESCRIPTION:Every industry working with combustible and toxic gases needs t
o have an understanding of the impact of the many hazards associated with
flame/fire. Proper flame/fire detection solutions should be used to enable
better situational analysis and more informed decision making during a fi
re event. Selecting the right flame detector for your application depends
on several factors: the nature of the fire\, the size of the area to be pr
otected\, environmental conditions\, detector capabilities and limitations
\, and other concerns must be considered. SMC Product Manager Jonathan Bre
ede will take you through these factors\, ultimately showing you how you c
an protect your facility from fire hazards. What: Understanding Flame Dete
ction: How to Protect Your Facility from Fire Hazards Date: Thursday\, Sep
tember 15\, 2016 Time: 10:00 AM - 10:45 AM PDT Speaker: Jonathan Breede
Flame and Fire Typical facilities or applications where unintentional fire
s might occur and that would benefit from a flame detection solution are:
Aircraft hangers Automotive industry Manufacturing industry Merchant ships
Naval systems Oil and gas refineries Processing plants Wastewater treatme
nt facilities
X-ALT-DESC;FMTTYPE=text/html:Every industry working with combustible and
toxic gases needs to have an understanding of the impact of the many haza
rds associated with flame/fire. Proper flame/fire detection solutions shou
ld be used to enable better situational analysis and more informed decisio
n making during a fire event. Selecting the right flame detector f
or your application depends on several factors: the nature of the fire\, t
he size of the area to be protected\, environmental conditions\, detector
capabilities and limitations\, and other concerns must be considered. SMC
Product Manager Jonathan Breede will take you through these factors\, ulti
mately showing you how you can protect your facility from fire hazards. What: Understanding Flame Detection: How to Protect
Your Facility from Fire Hazards Date: Thursday\, S
eptember 15\, 2016 Time: 10:00 AM - 10:45 AM PDT  \; | Speaker:
|
Jonathan Breede | | Flame and Fire | Typical faci
lities or applications where unintentional fires might occur and that woul
d benefit from a flame detection solution are: - Aircraft hangers
- Automotive industry
- Manufacturing industry
-
Merchant ships
- Naval systems
- Oil and gas refineries
- Processing plants
- Wastewater treatment facilities
|  \;
CATEGORIES:Education
UID:20160826T1822210Z-511394-988@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160921T170000
DTEND;TZID=America/Sao_Paulo:20160921T180000
SUMMARY:Nine Strategies for a Successful IoT Deployment
DESCRIPTION: Implementing an IoT strategy means more than connecting your
devices to the internet. There are a number of internal and external consi
derations companies should account for in order to realize the benefits an
d ROI IoT promises. In this webinar you will hear from Rick Lisa\, Intel I
oT Marketing Manager\, who has led numerous IoT deployments across a wide
range of end markets. Rick will share his insights and experience around n
ine disciplines associated with a complete digital transformation through
the deployment of IoT functionality. Speaker: Rick Lisa\, Marketing Manage
r\, Intel Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | | Implementing an IoT strategy means mo
re than connecting your devices to the internet. There are a number of int
ernal and external considerations companies should account for in order to
realize the benefits and ROI IoT promises. In this webinar you will hear
from Rick Lisa\, Intel IoT Marketing Manager\, who has led numerous IoT de
ployments across a wide range of end markets. Rick will share his insights
and experience around nine disciplines associated with a complete digital
transformation through the deployment of IoT functionality. | <
/tr> Speaker: Rick Lisa\, Marketing Manager\, Intel Moderator: \; Brandon
Lewis\, OpenSystems Media | |  \;
CATEGORIES:Education
UID:20160826T1825360Z-511394-989@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160912T170000
DTEND;TZID=America/Sao_Paulo:20160912T180000
SUMMARY:Analytics for the IoT: A Deep Dive into Algorithms - Day 1
DESCRIPTION: September 12 - Day 1: Introduction to Analytics for the IoT
Today we will present an overview of the types of statistical techniques
useful for the IoT and how statistics is used in this context. Some differ
ences with standard statistics will be highlighted. The requirements for I
oT analytics will also be presented. Our intent is to discuss the algorith
ms in the context of engineering applications in the IoT.
X-ALT-DESC;FMTTYPE=text/html: \;  \; - September 12 - Day 1: Introduction to Analytics
for the IoT
Today we will present an overview of the t
ypes of statistical techniques useful for the IoT and how statistics is us
ed in this context. Some differences with standard statistics will be high
lighted. The requirements for IoT analytics will also be presented. Our in
tent is to discuss the algorithms in the context of engineering applicatio
ns in the IoT.
CATEGORIES:Education
UID:20160826T1835000Z-511394-990@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160913T170000
DTEND;TZID=America/Sao_Paulo:20160913T180000
SUMMARY:Analytics for the IoT: A Deep Dive into Algorithms - Day 2
DESCRIPTION: September 13 - Day 2: Languages and Environments To impleme
nt the analytics we are discussing this week\, one needs software and a pl
atform to run it on. We will discuss languages such as R and Python and mo
re conventional languages and traditional statistical processing systems.
Because the size of the data is often massive and growing\, platforms beco
me important. It is key to pick one that can start small and grow in power
without having to completely rewrite the application. We will give an ove
rview of platforms specific to the IoT.
X-ALT-DESC;FMTTYPE=text/html: \;  \; - September 13 - Day 2: Languages and Environment
s
To implement the analytics we are discussing this wee
k\, one needs software and a platform to run it on. We will discuss langua
ges such as R and Python and more conventional languages and traditional s
tatistical processing systems. Because the size of the data is often massi
ve and growing\, platforms become important. It is key to pick one that ca
n start small and grow in power without having to completely rewrite the a
pplication. We will give an overview of platforms specific to the IoT.
CATEGORIES:Education
UID:20160826T1835500Z-511394-991@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160914T170000
DTEND;TZID=America/Sao_Paulo:20160914T180000
SUMMARY:Analytics for the IoT: A Deep Dive into Algorithms - Day 3
DESCRIPTION: September 14 - Day 3: Classification A major area of machin
e learning concerns classification. We will describe both supervised and u
nsupervised learning techniques and discuss when and how to use them. Tech
niques include k-means clustering\, support vector machines\, principal co
mponents analysis and decision trees\, for example. We will also look at a
pplications of these techniques.
X-ALT-DESC;FMTTYPE=text/html: \;  \;
CATEGORIES:Education
UID:20160826T1836430Z-511394-992@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160915T170000
DTEND;TZID=America/Sao_Paulo:20160915T180000
SUMMARY:Analytics for the IoT: A Deep Dive into Algorithms - Day 4
DESCRIPTION: September 15 - Day 4: Failure Analysis & Anomaly Detection
For this lecture we will talk about failure detection and anomaly detectio
n\, and the analyses used in conjunction with them. In the IoT we often wa
nt to perform these on a continuous basis. This introduces some interestin
g features in the analysis that we will discuss.
X-ALT-DESC;FMTTYPE=text/html: \;  \; - September 15 - Day 4: Failure Analysis &\; A
nomaly Detection
For this lecture we will talk about fa
ilure detection and anomaly detection\, and the analyses used in conjuncti
on with them. In the IoT we often want to perform these on a continuous ba
sis. This introduces some interesting features in the analysis that we wil
l discuss.
CATEGORIES:Education
UID:20160826T1837320Z-511394-993@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160916T170000
DTEND;TZID=America/Sao_Paulo:20160916T180000
SUMMARY:Analytics for the IoT: A Deep Dive into Algorithms - Day 5
DESCRIPTION: September 16 - Day 5: Optimization One major feature of the
IoT is that we now have lots of data over long periods of time for many t
ypes of systems.We can use this rich data horde to perform optimizations i
n current systems and in the design of new or evolved systems.These techni
ques often use complex formulations involving linear and non-linear system
s approaches.Fortunately\, there are many existing implementations of thes
e methods that make their execution relatively easy.We will look at them i
n a couple different languages
X-ALT-DESC;FMTTYPE=text/html: \;  \; - September 16 - Day 5: Optimization
One major feature of the IoT is that we now have lots of data over
long periods of time for many types of systems.We can use this rich data h
orde to perform optimizations in current systems and in the design of new
or evolved systems.These techniques often use complex formulations involvi
ng linear and non-linear systems approaches.Fortunately\, there are many e
xisting implementations of these methods that make their execution relativ
ely easy.We will look at them in a couple different languages
CATEGORIES:Education
UID:20160826T1838200Z-511394-994@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160926T170000
DTEND;TZID=America/Sao_Paulo:20160926T180000
SUMMARY: IoT Building Blocks and Toolchains - Day 1
DESCRIPTION: September 26 - Day 1: IoT Design Using Nordic’s nRF51822 To
day’s lecture will be supported by the free edition of Keil’s MDK for Cort
ex-M. The code produced by the Keil MDK will be used to expose the capabil
ities of various microcontroller elements of Nordic’s nRF51822 SoC. During
the course of the lecture\, we will utilize the nRF52 SDK to produce code
that will enable various members of the nRF51822’s peripheral subsystems.
Today’s task includes designing and scratch building an nRF51822 prototyp
e/test jig.
X-ALT-DESC;FMTTYPE=text/html: \;  \; - September 26 - Day 1: IoT Design Using Nordic&r
squo\;s nRF51822
Today&rsquo\;s lecture will be support
ed by the free edition of Keil&rsquo\;s MDK for Cortex-M. The code produce
d by the Keil MDK will be used to expose the capabilities of various micro
controller elements of Nordic&rsquo\;s nRF51822 SoC. During the course of
the lecture\, we will utilize the nRF52 SDK to produce code that will enab
le various members of the nRF51822&rsquo\;s peripheral subsystems. Today&r
squo\;s task includes designing and scratch building an nRF51822 prototype
/test jig.
CATEGORIES:Education
UID:20160826T1840170Z-511394-995@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160927T170000
DTEND;TZID=America/Sao_Paulo:20160927T180000
SUMMARY: IoT Building Blocks and Toolchains - Day 2
DESCRIPTION: September 27 - Day 2: IoT Prototyping with Nordic’s New nRF
52832 The nRF52832 is billed as a Multiprotocol Bluetooth low energy/ANT/2
.4GHz RF SoC. In this lecture we will explore the new features of the nRF5
2832 and write some code to put the nRF52832’s peripherals and radio throu
gh their paces. Our goal today is to design and build an nRF52832 prototyp
e/test jig.
X-ALT-DESC;FMTTYPE=text/html: \;  \; - September 27 - Day 2: IoT Prototyping with Nord
ic&rsquo\;s New nRF52832
The nRF52832 is billed as a Mu
ltiprotocol Bluetooth low energy/ANT/2.4GHz RF SoC. In this lecture we wil
l explore the new features of the nRF52832 and write some code to put the
nRF52832&rsquo\;s peripherals and radio through their paces. Our goal toda
y is to design and build an nRF52832 prototype/test jig.
CATEGORIES:Education
UID:20160826T1841180Z-511394-996@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160928T170000
DTEND;TZID=America/Sao_Paulo:20160928T180000
SUMMARY: IoT Building Blocks and Toolchains - Day 3
DESCRIPTION: September 28 - Day 3: Microchip’s IoT Packages – BM70 and B
M71 In addition to the RN4020\, Microchip offers a pair of IoT-ready SoCs.
Today\, we will take a look at the Microchip BM70/71 PICtail\, command se
t and programming utilities. To facilitate our BM7x exploration\, we will
first design and assemble a suitable BM7x prototype platform.
X-ALT-DESC;FMTTYPE=text/html: \;  \;
CATEGORIES:Education
UID:20160826T1842140Z-511394-997@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160929T170000
DTEND;TZID=America/Sao_Paulo:20160929T180000
SUMMARY: IoT Building Blocks and Toolchains - Day 4
DESCRIPTION: September 29 - Day 4: Outside-of-the-Box IoT The bottom lin
e in IoT is to deliver the payload. Today\, we will “deliver” without the
assistance of WiFi\, Ethernet or Bluetooth. While we’re at it\, we’ll use
the power of the sun as an IoT delivery vehicle\, as well.
X-ALT-DESC;FMTTYPE=text/html: \;  \; - September 29 - Day 4: Outside-of-the-Box IoT
The bottom line in IoT is to deliver the payload. Today\,
we will &ldquo\;deliver&rdquo\; without the assistance of WiFi\, Ethernet
or Bluetooth. While we&rsquo\;re at it\, we&rsquo\;ll use the power of th
e sun as an IoT delivery vehicle\, as well.
CATEGORIES:Education
UID:20160826T1843450Z-511394-998@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160930T170000
DTEND;TZID=America/Sao_Paulo:20160930T180000
SUMMARY: IoT Building Blocks and Toolchains - Day 5
DESCRIPTION: September 30 - Day 5: Smile for the Camera IoT design need
not be confined to Ethernet and Bluetooth devices passing bytes of sensor
data. Today’s lecture will focus on the capabilities of the ON Semiconduct
or MatrixCam. The MatrixCam is a 1080p camera solution that enables the st
reaming of video over WiFi and Ethernet.
X-ALT-DESC;FMTTYPE=text/html: \;  \; - September 30 - Day 5: Smile for the Camera
IoT design need not be confined to Ethernet and Bluetooth d
evices passing bytes of sensor data. Today&rsquo\;s lecture will focus on
the capabilities of the ON Semiconductor MatrixCam. The MatrixCam is a 108
0p camera solution that enables the streaming of video over WiFi and Ether
net.
CATEGORIES:Education
UID:20160826T1844540Z-511394-999@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160928T170000
DTEND;TZID=America/Sao_Paulo:20160928T180000
SUMMARY:Shifting to Software – The New Automotive Landscape
DESCRIPTION: The automotive industry is rapidly shifting gears and acceler
ating to a software-defined future. Traditional automakers are redefining
themselves as software companies\, while a host of new entrants from the h
igh-tech world are also making a play for the future of transportation. Th
e automotive industry is changing rapidly. Innovation is increasingly com
ing from electronic systems and software\, which is challenging traditiona
l automakers to redefine their platforms (and themselves) towards a new so
ftware-defined architecture. New entrants from Silicon Valley are challeng
ing the industry to rethink and reinvent their products. As current elect
ronic control architectures consolidate into sophisticated domain controll
ers\, automakers must support those with safe\, secure and reliable softwa
re to accelerate time-to-market\, enhance flexibly\, and reuse software ac
ross platforms. In this webinar we will look at the new competitive enviro
nment\, highlight lessons from software development in the consumer world\
, and examine attributes that can make a software platform a strategic ass
et. Attend this webinar to learn about: The Shift to Domain Controllers:
Increasing complexity and cost in current systems will drive consolidation
\, but the right approach to software is needed to obtain the benefits. Ac
celerating Change: Automakers’ product cycles are measured in years\, so w
hat is the right software approach to enable innovative features and rapid
time to market. New Competitors: New entrants from the high-tech world b
ring a different perspective to software development. What do they do\, a
nd what you can learn from them. Speakers: Thomas Bloor\, Automotive Bus
iness Development Manager\, QNX Software Systems Moderator: Curt Schwader
er\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | The automotive i
ndustry is rapidly shifting gears and accelerating to a software-defined f
uture. Traditional automakers are redefining themselves as software compan
ies\, while a host of new entrants from the high-tech world are also makin
g a play for the future of transportation. The automotive industry
is changing rapidly. \; Innovation is increasingly coming from electr
onic systems and software\, which is challenging traditional automakers to
redefine their platforms (and themselves) towards a \;new software-de
fined architecture. New entrants from Silicon Valley are challenging the i
ndustry to rethink and reinvent their products. \; As current electron
ic control architectures consolidate into sophisticated domain controllers
\, automakers must support those with safe\, secure and reliable software
to accelerate time-to-market\, enhance flexibly\, and reuse software acros
s platforms. In this webinar we will look at the new competitive e
nvironment\, highlight lessons from software development in the consumer w
orld\, and examine attributes that can make a software platform a strategi
c asset. Attend this webinar to learn about:
- The Shift to Domain Controllers: \; Increa
sing complexity and cost in current systems will drive consolidation\, but
the right approach to software is needed to obtain the benefits.
Accelerating Change: Automakers&rsquo\; product cycles
are measured in years\, so what is the right software approach to enable i
nnovative features and rapid time to market. - New Competi
tors: \; New entrants from the high-tech world bring a differ
ent perspective to software development. \; What do they do\, and what
you can learn from them.
 \; |
Speake
rs: Thomas Bloor\, Automotive Business Development Manager\
, QNX Software Systems Moderator:
 \; Curt Schwaderer\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20160901T1825260Z-511394-1000@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160908T170000
DTEND;TZID=America/Sao_Paulo:20160908T180000
SUMMARY:Power Supplies: The “Heart” of Power Management Technology
DESCRIPTION:Power management technology plays a major role in virtually all
electronic systems\, including analog\, digital\, and mixed-signal system
s. It doesn’t matter whether it is consumer\, industrial\, computer\, or t
ransportation electronics\, power management technology plays a pivotal ro
le. Regardless of the application\, power management technology regulates\
, controls\, and distributes power throughout the system. Therefore\, powe
r management affects the reliability\, performance\, cost\, and time-to-ma
rket for electronic systems. An analogy would be that power management fun
ctions in a manner similar to the body’s blood vessels that supply the pro
per nutrients to keep the body alive. Likewise\, power management supplies
and controls the power that keeps an electronic system alive. In four rel
ated webinars\, Sam Davis will describe power management technology. Webin
ar 1\, Power Supplies: The “Heart” of Power Management Technology will cov
er: • Power supply fundamentals and their design characteristics. • Consid
erations for either making or buying a power supply for an electronic syst
em. • Power supply packages from the small encapsulated low power units to
larger ones that handle kilowatts. • Standards and regulations that impac
t power supply designs. • System considerations for power supplies: distri
buted power architecture and intermediate bus architecture. Register now
for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Power management technology
plays a major role in virtually all electronic systems\, including analog\
, digital\, and mixed-signal systems. It doesn&rsquo\;t matter whether it
is consumer\, industrial\, computer\, or transportation electronics\, powe
r management technology plays a pivotal role. Regardless of the applicatio
n\, power management technology regulates\, controls\, and distributes pow
er throughout the system. Therefore\, power management affects the reliabi
lity\, performance\, cost\, and time-to-market for electronic systems. An
analogy would be that power management functions in a manner similar to th
e body&rsquo\;s blood vessels that supply the proper nutrients to keep the
body alive. Likewise\, power management supplies and controls the power t
hat keeps an electronic system alive. I
n four related webinars\, Sam Davis will describe power management technol
ogy. Webinar 1\, Power Supplies: The &ldquo\;Heart&rdquo\; of Power Manage
ment Technology will cover: &bull\; P
ower supply fundamentals and their design characteristics. &bull\;
Considerations for either making or buying a power supply for an electroni
c system. &bull\; Power supply packages from the small encapsulated
low power units to larger ones that handle kilowatts. &bull\; Stan
dards and regulations that impact power supply designs. &bull\; Sys
tem considerations for power supplies: distributed power architecture and
intermediate bus architecture.  \;
CATEGORIES:Education
UID:20160901T1827320Z-511394-1001@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160923T140000
DTEND;TZID=America/Sao_Paulo:20160923T150000
SUMMARY:Tips for Starting Small while Building Your Business Case for IoT
DESCRIPTION: When getting started with the Internet of Things (IoT) and Io
T analytics\, business and IT leaders must quickly gain competitive advant
age while proving long-term business value. Finding the right starting poi
nt is a challenge\, and in order to gain support to expand past a strategi
c experiment\, it’s imperative to show strong business value. What’s the b
est approach to picking the right investments\, fully understanding their
potential value\, and defending them from scrutiny? Register now to learn
leading practices for getting started while building your business case fo
r an ongoing IoT investment. Industrial manufacturing experts from PTC and
Kalypso will provide solid advices and practical examples of how they’ve
teamed up to get organizations started with ThingWorx Analytics\, your key
to unlocking the value of IoT data. During this moderated discussion\, yo
u will learn: How to identify and evaluate IoT analytics opportunities The
best way to identify and use strategic experiments to gain experience and
prove value How to deploy a predictive analytics solution across your org
anization Speakers: Jordan Reynolds\, Senior Manager\, Kalypso Michael G
lessner\, Director\, Kalypso Ryan Caplan\, General Manager\, ThingWorx Ana
lytics\, PTC Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | |
When getting started with the Internet of Things (I
oT) and IoT analytics\, business and IT leaders must quickly gain competit
ive advantage while proving long-term business value. Finding the right st
arting point is a challenge\, and in order to gain support to expand past
a strategic experiment\, it&rsquo\;s imperative to show strong business va
lue. What&rsquo\;s the best approach to picking the right investme
nts\, fully understanding their potential value\, and defending them from
scrutiny? Register now to learn leading practices for getting star
ted while building your business case for an ongoing IoT investment. Indus
trial manufacturing experts from PTC and Kalypso will provide solid advice
s and practical examples of how they&rsquo\;ve teamed up to get organizati
ons started with ThingWorx Analytics\, your key to unlocking the value of
IoT data. During this moderated discussion\, you will learn:
- How to identify and evaluate IoT analytics opportunities
<
li>The best way to identify and use strategic experiments to gain experien
ce and prove value - How to deploy a predictive analytics solution
across your organization
 \; |
Speake
rs: Jordan Reynolds\, Senior Manager\, Kalypso Michae
l Glessner\, Director\, Kalypso Ryan Caplan\, General Manager\, Thin
gWorx Analytics\, PTC Moderator:&
nbsp\; Brandon Lewis\, OpenSystems Media |
<
table style='border-radius: 4px\;' border='0' cellspacing='0' cellpadding=
'0' align='center'> | REGISTER NOW |
 \;
CATEGORIES:Education
UID:20160901T1829210Z-511394-1002@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160907T170000
DTEND;TZID=America/Sao_Paulo:20160907T180000
SUMMARY:Sensor Fusion Optimizes Factory Performance\, Safety and Efficiency
DESCRIPTION:The industrial internet of things is profoundly impacting produ
ctivity and performance in modern manufacturing plants. At the heart of th
is is sensor fusion\, the ability to integrate a wide range of sensor data
for analysis and machine control. The intricacies involved with implement
ing sensor fusion solutions\, including the associated processing and conn
ectivity to the cloud\, will be explored during this technical training se
ssion. Additionally\, practical examples of different use cases spanning d
ifferent industrial applications will be covered. Designers of equipment u
sed in the manufacturing process along with plant managers looking to impr
ove overall performance\, output and quality will benefit from the researc
h and information presented. Register now for this webcast
X-ALT-DESC;FMTTYPE=text/html: The industrial internet of things is profoundly impacting productivity an
d performance in modern manufacturing plants. At the heart of this is sens
or fusion\, the ability to integrate a wide range of sensor data for analy
sis and machine control. The intricacies involved with implementing sensor
fusion solutions\, including the associated processing and connectivity t
o the cloud\, will be explored during this technical training session. Add
itionally\, practical examples of different use cases spanning different i
ndustrial applications will be covered. Designers of equipment used in the
manufacturing process along with plant managers looking to improve overal
l performance\, output and quality will benefit from the research and info
rmation presented.
CATEGORIES:Education
UID:20160901T1830210Z-511394-1003@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160913T140000
DTEND;TZID=America/Sao_Paulo:20160913T150000
SUMMARY:Simulating systems\, evaluating LEDs\, and specifying optics
DESCRIPTION:This webcast will take solid-state lighting (SSL) product devel
opers through the complex process of advanced LED luminaire development wi
th a methodology that can both accelerate time to market and optimize the
photometric and electrical performance of finished products. By leveraging
the latest FLS software tools to design a project\, customers can get the
development process off to a fast start. Nichia will provide the audience
with a look at the latest in LED technology from mid- and high-power LEDs
to COB options and will explain what each architecture brings to applicat
ions. What You'll Learn: Introduction to expansive Nichia LED light so
urce portfolio. How designing with Nichia products will help overcome key
design challenges re space\, thermals\, color\, CRI\, and reliability. How
FLS technical solutions\, LEDs\, and supply-chain capabilities enable lig
hting companies to get to market quickly. How LEDiL’s optical components o
ffer lighting designers the flexibility they need to differentiate their p
roducts. Who Should Attend: Buyers Engineers Designers Managers Register
Now! And LEDiL will explain the expanding set of optic configurations t
hat can deliver a variety of beam patterns and perform the ultimate goal o
f delivering light on the target.
X-ALT-DESC;FMTTYPE=text/html:This webcast will take solid-state lighting (SSL) product d
evelopers through the complex process of advanced LED luminaire developmen
t with a methodology that can both accelerate time to market and optimize
the photometric and electrical performance of finished products.
By leveraging the latest FLS software tools to design a project\, cust
omers can get the development process off to a fast start. Nichia will pro
vide the audience with a look at the latest in LED technology from mid- an
d high-power LEDs to COB options and will explain what each architecture b
rings to applications.  \; <
p>
What You'll Learn:<
/span>  \; - Introduction to expansive Nichia LED light source portf
olio.
- How designing with Nichia products will help overcome key design challe
nges re space\, thermals\, color\, CRI\, and reliability.
- How FLS technical s
olutions\, LEDs\, and supply-chain capabilities enable lighting companies
to get to market quickly.
- How LEDiL&rsquo\;s optical components offer lightin
g designers the flexibility they need to differentiate their products.
 \; Who Should Attend:
- Buyers
- Engineers
- <
span style='font-family: arial\; font-size: small\;'>Designers
Managers Register Now! \; \;
And LEDiL will explain the expanding set of optic con
figurations that can deliver a variety of beam patterns and perform the ul
timate goal of delivering light on the target.
CATEGORIES:Education
UID:20160901T1834550Z-511394-1004@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160928T170000
DTEND;TZID=America/Sao_Paulo:20160928T180000
SUMMARY:IoT Best Practices for Home Appliance Manufacturers
DESCRIPTION:Register Now IoT Best Practices for Home Appliance Manufacturer
s September 28\, 2016 2pm ET (11am PT) As home appliance manufacturers con
sider adding smart appliances to their product lines\, they need to ask th
emselves an important question: 'Do we have the specialized technical expe
rtise in house to successfully connect\, scale and secure versions of our
appliances for the Internet of Things (IoT)?' Manufacturers must\, to name
a few: Clearly define use cases and additional services Prioritize securi
ty and privacy Design for usability\, configurability\, and scale Add valu
e and differentiate where it matters
X-ALT-DESC;FMTTYPE=text/html: | IoT Best Practices for Home
Appliance Manufacturers September 28\, 2016 2pm ET (11am PT) As
home appliance manufacturers consider adding smart appliances to their pro
duct lines\, they need to ask themselves an important question: 'Do we hav
e the specialized technical expertise in house to successfully connect\, s
cale and secure versions of our appliances for the Internet of Things (IoT
)?'
Manufacturers must\, to name a few: - Clearly define use cases and additional services
- Prioritize sec
urity and privacy
- Design for usability\, configurability\, and s
cale
- Add value and differentiate where it matters
<
/td> | |  \;
CATEGORIES:Education
UID:20160901T1840560Z-511394-1005@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160908T170000
DTEND;TZID=America/Sao_Paulo:20160908T180000
SUMMARY:Hybrid Automation - Choosing the Right Servo Drive Architecture
DESCRIPTION:In a world in which manufacturing of goods constantly demands i
mprovement of productivity and efficiency as well as lowering of cost\, th
e servo drive and motion control industry has taken on the task to meet th
ese expectations. While doing so\, systems with the highest degree of modu
larity and thus flexibility were being developed. Larry Culpepper\, Sales
Manager at AMK Drives and Controls\, Inc. will lead you through the charac
teristics of different servo drive architectures and explain what hybrid a
utomation solutions are. He will also give you an insight to the world of
functional safety and the different connector and cable options that are o
n the market. Larry will walk you through the steps of saving possibilitie
s on cabling and labor cost when using decentralized drive systems. From t
here he will show you real life application examples to give you a better
idea on how to choose the right system for your application. In this webin
ar\, you’ll discover: Differences between centralized\, decentralized and
hybrid servo systems Functional Safety options Connector and cable differe
nces for power and feedback How you can save on cost with different system
architectures How other machine manufacturers are using these systems R
egister now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:In a world in w
hich manufacturing of goods constantly demands improvement of productivity
and efficiency as well as lowering of cost\, the servo drive and motion c
ontrol industry has taken on the task to meet these expectations. While do
ing so\, systems with the highest degree of modularity and thus flexibilit
y were being developed. Larry Culpepper\, Sales Manager at A
MK Drives and Controls\, Inc. will lead you through the characteristics of
different servo drive architectures and explain what hybrid automation so
lutions are. He will also give you an insight to the world of functional s
afety and the different connector and cable options that are on the market
. Larry will walk you through the steps of saving possibilities on cabling
and labor cost when using decentralized drive systems. From there he will
show you real life application examples to give you a better idea on how
to choose the right system for your application. In this webinar\, you&rsquo\;ll discover:
- Differences between centralized\, decentralized and hyb
rid servo systems
- Functional Safety options
- Connector and cable differences for power and feedback
- How you can save on cost with different system a
rchitectures
- How other machine manufacturers are
using these systems
 \;
CATEGORIES:Education
UID:20160901T1842220Z-511394-1006@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160927T160000
DTEND;TZID=America/Sao_Paulo:20160927T170000
SUMMARY:Automotive Industry Standards and Trends
DESCRIPTION:While vehicle owners focus on ease of use\, driving experience\
, and safety\, car makers focus on increased modularity\, reusability\, an
d manufacturing efficiency. This means reusing code\, components\, and tec
hnologies to minimize duplication of effort. Increased practices of code\,
component\, and technology reuse are driving the need for updated standar
ds relating to software design\, management\, and testing. This 30-minute
Webinar examines trending standards activities and their impact on softwar
e development life cycle as well as overall safety\, security\, and qualit
y of components in vehicles. It also explores the impact of failure to und
erstand the reasoning behind automotive standards and how individuals can
participate. An audience Q&A follows the technical presentation. Regis
ter Here!
X-ALT-DESC;FMTTYPE=text/html:While vehicle owners focus on ease of use\,
driving experience\, and safety\, car makers focus on increased modularit
y\, reusability\, and manufacturing efficiency.
This means re
using code\, components\, and technologies to minimize duplication of effo
rt. Increased practices of code\, component\, and technology reuse are dri
ving the need for updated standards relating to software design\, manageme
nt\, and testing.
This 30-minute Webinar examines trending st
andards activities and their impact on software development life cycle as
well as overall safety\, security\, and quality of components in vehicles.
It also explores the impact of failure to understand the reasoning behind
automotive standards and how individuals can participate.
An
audience Q&\;A follows the technical presentation.  \;
 \; | Register Here! |  \; |  \;
CATEGORIES:Education
UID:20160901T1844040Z-511394-1007@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160914T160000
DTEND;TZID=America/Sao_Paulo:20160914T170000
SUMMARY:How to Never Re-do Your Documentation Again
DESCRIPTION:Live Demo How to Never Re-do Your Documentation Again Remember
that one time you did your documentation\, changed your board layout\, the
n did your documentation all over again? It’s time to change this story. R
egister now for a free Altium Designer demo and learn how to get your docu
mentation done right the first time. You’ll also learn: How to end the c
ommunication gap between your ECAD and MCAD design teams. How to stop wast
ing time making new components for your libraries. How to reduce your wast
ed engineering time spent learning multiple interfaces. Have questions at
the end of the demo? Talk with our Altium Designer experts in a live Q&A.
Register
X-ALT-DESC;FMTTYPE=text/html:
Live
Demo | How to Never Re-do Your Documentation Again | | | Remember that one time you did your documen
tation\, changed your board layout\, then did your documentation all over
again? It&rsquo\;s time to change this story. Register now for a free Altium Designer demo and learn how to ge
t your documentation done right the first time.  \; You&rsquo\;l
l also learn: - How to end the com
munication gap between your ECAD and MCAD design teams.
- How to stop wa
sting time making new components for your libraries.
- How to reduce you
r wasted engineering time spent learning multiple interfaces.
Have questions at the end of the demo? Talk with our Altium Desig
ner experts in a live Q&\;A.  \;Register
CATEGORIES:Education
UID:20160908T1619470Z-511394-1008@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160920T160000
DTEND;TZID=America/Sao_Paulo:20160920T170000
SUMMARY:Understanding Photonics: Laser diodes in all their diversity
DESCRIPTION:Since its invention in 1962\, the laser diode has diversified i
nto many configurations\, some very different from each other. This webcas
t provides an overview of laser diode technology in its various forms\, al
ong with some of the applications these lasers enable (and that have drive
n such diversification). In addition\, numerous product examples illustrat
ing the different types are presented. What You'll Learn: Overview of
laser diode types Features unique to each type Applications of the differe
nt types Numerous product examples Who Should Attend: Applied research a
nd development Laser and optical engineering Test and measurement engineer
ing Applications engineering Engineering management
X-ALT-DESC;FMTTYPE=text/html:Since its invention in 1962\,
the laser diode has diversified into many configurations\, some very diff
erent from each other. This webcast provides an overview of laser diode te
chnology in its various forms\, along with some of the applications these
lasers enable (and that have driven such diversification). In addition\, n
umerous product examples illustrating the different types are presented. <
/span>  \;<
/p> What You'll Lear
n:  \
; - Ov
erview of laser diode types
- Features unique to each type
- Applications of the dif
ferent types
- Numerous product examples
 \; Who Should
strong>Attend:
- Applied research and development
- Laser and optical enginee
ring
- Test and measurement engineering
- Applications engineering
-
Engineering manageme
nt
 \;
CATEGORIES:Education
UID:20160908T1622350Z-511394-1009@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160920T170000
DTEND;TZID=America/Sao_Paulo:20160920T180000
SUMMARY:Relay Selections for Optimizing Performance
DESCRIPTION:Attendees will gain a better understanding of the operating pri
nciples for electromechanical and solid state relays/contactors. What Yo
u'll Learn: Relay design fundamentals that will help them better specify
relays for their unique applications. Common terminology used for relays
and contactors. Comparisons of electromechanical versus solid state relays
. Application lessons learned from the field. Who Should Attend: Systems
engineers and technicians that specify relays and contactors. Inspection
and test personnel that check for proper perform relay testing/screening.
Technical buyers and Standards Engineers that wish to learn more about rel
ay specifications and terminology. Register Now
X-ALT-DESC;FMTTYPE=text/html:Attendees will gain a better
understanding of the operating principles for electromechanical and solid
state relays/contactors.  \; What You'll Learn:  \; - Relay design fundamentals that will help them bett
er specify relays for their unique applications.
- Common terminology used for
relays and contactors.
- Comparisons of electromechanical versus solid state re
lays.
- Application lessons learned from the field.
 \; Wh
o Should Attend:
span> - Systems engineers and technicians that speci
fy relays and contactors. Inspection and test personnel that check for pro
per perform relay testing/screening.
- Technical buyers and Standards Engineers
that wish to learn more about relay specifications and terminology.
 \; Register Now
CATEGORIES:Education
UID:20160908T1625410Z-511394-1010@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160927T160000
DTEND;TZID=America/Sao_Paulo:20160927T170000
SUMMARY:Optical design for biomedical imaging success
DESCRIPTION:In this webcast\, Dr. Liang\, author of several books on Biomed
ical Optical Imaging and popular speaker on the topic\, will cover the bas
ics of biomedical optics and optical engineering for various biomedical im
aging approaches. What You'll Learn: The basics of optical design for
biomedical imaging applications The important role optical engineering pla
ys in biomedical applications and systems design How optical consideration
s impact various biomedical imaging techniques How to choose light sources
and optical components Key aspects of testing Who Should Attend: Everyo
ne involved with biomedical imaging Designers\, engineers and innovators o
f bioimaging systems Researchers and clinicians Engineering and corporate
managers Those with responsibility to purchase light sources\, detectors a
nd other optical components Suppliers of componentry to systems designers
REGISTER NOW!
X-ALT-DESC;FMTTYPE=text/html:In this webcast\, Dr. Liang\,
author of several books on Biomedical Optical Imaging and popular speaker
on the topic\, will cover the basics of biomedical optics and optical eng
ineering for various biomedical imaging approaches. <
/span>  \; What Yo
u'll Learn:  \; - The basics of optical d
esign for biomedical imaging applications
- The important role optical engineer
ing plays in biomedical applications and systems design
- <
span style='font-family: arial\; font-size: small\;'>How optical considera
tions impact various biomedical imaging techniques
- How to choose light source
s and optical components
- Key aspects of testing
 \;
Who Should Attend: - Everyone involved
with biomedical imaging
- Designers\, engineers and innovators of
bioimaging systems
- Researchers and clinicians
- Engineer
ing and corporate managers
- Those with responsibility to purchase
light sources\, detectors and other optical components
- Supplier
s of componentry to systems designers
REGISTER NOW!  \;
CATEGORIES:Education
UID:20160920T1633440Z-511394-1011@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160927T140000
DTEND;TZID=America/Sao_Paulo:20160927T150000
SUMMARY:Unifying the IoT from Edge to Cloud on Intel Architecture
DESCRIPTION: Sponsored by: REGISTER NOW A significant challenge facing the
Internet of Things is the lack of compatibility between resource-constrai
ned edge devices and larger backend cloud/server platforms\, as the core t
echnologies that comprise each are so disparate. Whereas the edge typicall
y consists of small 32-bit microcontrollers and compact Real-Time Operatin
g Systems (RTOS)\, the cloud is comprised mostly of limitless compute reso
urces and full-blown Linux or Windows operating systems. Moreover\, the en
gineers that work on the OT (edge) and IT (cloud) sides of the IoT rarely
speak the same development language\, resulting in reduced efficiency\, da
ta transfer\, and business transparency for end-to-end IoT firms. Now\, wi
th the release of Intel Quark microcontrollers\, IoT organizations can tak
e advantage of a unified architecture from sensor node to gateway to enter
prise backend. Based on the Intel 486 architecture and compatible with the
Pentium instruction set (ISA)\, Quark microcontrollers provide the founda
tion for a scalable ecosystem of processors\, software\, tools\, and suppo
rt from Intel\, Wind River\, and McAfee that speed deployment times\, impr
ove collaboration\, reduce risks\, and lower costs. Are you ready to take
full advantage of the IoT Speakers: Niall Aughney\, Product line Manager\,
Intel Adrian Burns\, Chief Technology Officer\, Firmwave Moderator: Curt
Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | | Sponsored by:
REGISTER NOW | A significant challenge facing the Intern
et of Things is the lack of compatibility between resource-constrained edg
e devices and larger backend cloud/server platforms\, as the core technolo
gies that comprise each are so disparate. Whereas the edge typically consi
sts of small 32-bit microcontrollers and compact Real-Time Operating Syste
ms (RTOS)\, the cloud is comprised mostly of limitless compute resources a
nd full-blown Linux or Windows operating systems. Moreover\, the engineers
that work on the OT (edge) and IT (cloud) sides of the IoT rarely speak t
he same development language\, resulting in reduced efficiency\, data tran
sfer\, and business transparency for end-to-end IoT firms. Now\, w
ith the release of Intel Quark microcontrollers\, IoT organizations can ta
ke advantage of a unified architecture from sensor node to gateway to ente
rprise backend. Based on the Intel 486 architecture and compatible with th
e Pentium instruction set (ISA)\, Quark microcontrollers provide the found
ation for a scalable ecosystem of processors\, software\, tools\, and supp
ort from Intel\, Wind River\, and McAfee that speed deployment times\, imp
rove collaboration\, reduce risks\, and lower costs. Are you ready to take
full advantage of the IoT | Speakers: Nial
l Aughney\, Product line Manager\, Intel Adrian Burns\, Chief Techno
logy Officer\, Firmwave Moderator
: \; Curt Schwaderer\, OpenSystems Media | |  \;
CATEGORIES:Education
UID:20160920T1635100Z-511394-1012@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160927T150000
DTEND;TZID=America/Sao_Paulo:20160927T160000
SUMMARY:Which Switch? Choose Your Next LED Driver
DESCRIPTION:LED lighting is becoming mainstream in modern society and has p
roven to be beneficial in reducing energy use across the globe. Replacing
a standard 60W general service lamp with a 7W LED equivalent saves almost
90% of the energy required to light a typical residential space and LEDs p
rovide similar improvements across all lighting applications. They also ex
ponentially extend lighting system lifetimes from 2\,000 hours up to 50\,0
00 hours. As consumer expectations change from lighting systems being disp
osable and replaceable towards fixtures that are fully integrated lighting
appliances\, quality and reliability is becoming increasingly important.
This webinar will summarize Power Integrations’ LED driver solutions cover
ing multiple applications giving engineers the tools to choose the right I
C and system design for their LED lighting needs. We will display the mos
t technically advanced\, highly integrated\, efficient and reliable LED dr
iver ICs\, illustrated with easy to implement and highly manufacturable re
ference examples. Attend this webinar to learn about: LED driver solutions
for different topologies including isolated versus non-isolated applicati
ons Basic topology selections\, benefits and disadvantages How to get opti
mal performance\, thermal foldback and increased longevity Advantages of s
imple and lower component count designs REGISTER
X-ALT-DESC;FMTTYPE=text/html: L
ED lighting is becoming mainstream in modern society and has proven to be
beneficial in reducing energy use across the globe. Replacing a standard 6
0W general service lamp with a 7W LED equivalent saves almost 90% of the e
nergy required to light a typical residential space and LEDs provide simil
ar improvements across all lighting applications. They also exponentially
extend lighting system lifetimes from 2\,000 hours up to 50\,000 hours. As
consumer expectations change from lighting systems being disposable and r
eplaceable towards fixtures that are fully integrated lighting appliances\
, quality and reliability is becoming increasingly important. This
webinar will summarize Power Integrations&rsquo\; LED driver solutions co
vering multiple applications giving engineers the tools to choose the righ
t IC and system design for their LED lighting needs. \; We will displa
y the most technically advanced\, highly integrated\, efficient and reliab
le LED driver ICs\, illustrated with easy to implement and highly manufact
urable reference examples.
Attend this webinar to learn
about: - LED driver solutions for different topolog
ies including isolated versus non-isolated applications
- Basic to
pology selections\, benefits and disadvantages
- How to get optima
l performance\, thermal foldback and increased longevity
- Advanta
ges of simple and lower component count designs
REGISTER
CATEGORIES:Education
UID:20160920T1637470Z-511394-1013@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20161019T140000
DTEND;TZID=America/Sao_Paulo:20161019T150000
SUMMARY:Relay and fuse replacement for high current applications - Trends a
nd Challenges in automotive
DESCRIPTION:In the last few years\, many new requirements are driving the r
eplacement of high current relays and fuses by protected semicondutor solu
tions. The increasing number of load activation has been a major game chan
ger but many other trends as miniaturization\, weight reduction\, power ar
chitecture and wire harness optimization or smarter power management are c
hanging significantly the market and its eco-system. Join the webinar and
learn more about these new challenges and our recommendations for fuse and
relay replacement! Attendees will learn Comparison between electromechani
cal and semiconductor solutions Challenges of high current applications Ne
w Infineon High-Side Switch family with benchmark RDS_on down to 1.0mOhm C
ost effectiveness of semiconductor at device and system level REGISTER
X-ALT-DESC;FMTTYPE=text/html: I
n the last few years\, many new requirements are driving the replacement o
f high current relays and fuses by protected semicondutor solutions. The i
ncreasing number of load activation has been a major game changer but many
other trends as miniaturization\, weight reduction\, power architecture a
nd wire harness optimization or smarter power management are changing sign
ificantly the market and its eco-system. Join the webinar and learn m
ore about these new challenges and our recommendations for fuse and relay
replacement! Attendees will learn - Comparison betwee
n electromechanical and semiconductor solutions
- Challenges of hi
gh current applications
- New Infineon High-Side Switch family wit
h benchmark RDS_on down to 1.0mOhm
- Cost effectiveness of semicon
ductor at device and system level
REGISTER
CATEGORIES:Education
UID:20160920T1639200Z-511394-1014@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20161027T130000
DTEND;TZID=America/Sao_Paulo:20161027T140000
SUMMARY:Infineon's new concept for cost optimized automotive LED headlamp e
lectronics with LITIX™ Power Flex
DESCRIPTION:With the fast increasing penetration of LED headlamps into volu
me middle class cars as well as volume motorcycles also the need for cost
reduction of LED headlamp systems is getting more and more important. As p
ure adaptation and downscaling of the electronic concepts used for premium
cars today show clear limits\, new approaches for LED electronics have to
be followed to achieve attractive system cost reductions. In this webinar
Infineon shows and explains such a new approach for cost optimized LED el
ectronic based on their new LITIX™ Power Flex DC/DC H-Bridge controller de
vice. The target of this approach is to enable cost efficient LED headlamp
s with minimum electronic costs and maximum efficiency. Attendees will lea
rn Infineon's new concept for cost optimized LED lighting electronics with
improved performances - LITIX™ Power Flex Today’s trends for automotive f
ront LED lighting and the connected challenges (e.g. efficiency\, space re
quirements and costs) Standard available solutions and their limits in com
parison to LITIX™ Power Flex REGISTER
X-ALT-DESC;FMTTYPE=text/html: W
ith the fast increasing penetration of LED headlamps into volume middle cl
ass cars as well as volume motorcycles also the need for cost reduction of
LED headlamp systems is getting more and more important. As pure ad
aptation and downscaling of the electronic concepts used for premium cars
today show clear limits\, new approaches for LED electronics have to be fo
llowed to achieve attractive system cost reductions. In this webinar
Infineon shows and explains such a new approach for cost optimized LED ele
ctronic based on their new LITIX&trade\; Power Flex DC/DC H-Bridge control
ler device. The target of this approach is to enable cost efficient LED he
adlamps with minimum electronic costs and maximum efficiency. Attendees will learn - Infineon's new concept fo
r cost optimized LED lighting electronics with improved performances - LIT
IX&trade\; Power Flex
- Today&rsquo\;s trends for automotive front
LED lighting and the connected challenges (e.g. efficiency\, space requir
ements and costs)
- Standard available solutions and their limits
in comparison to LITIX&trade\; Power Flex
REGISTER<
/p>
CATEGORIES:General
UID:20161020T1716270Z-511394-1015@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20161018T080000
DTEND;TZID=America/Sao_Paulo:20161018T160000
SUMMARY:NI - Aerospace & Defense Day
DESCRIPTION: No dia 18 de outubro vai acontecer o Aerospace & Defense
Day Brasil\, o nosso evento anual para profissionais da área de engenharia
aeronáutica\, espacial e de defesa. Destacamos os principais motivos para
você fazer a sua inscrição: 1. Especialistas internacionais: Profissionai
s renomados abordando questões globais e desafios tecnológicos em evidênci
a na indústria aeroespacial e no segmento de defesa. 2. Sessões técnicas e
oficinas práticas: Atualize seu conhecimento explorando uma ampla agenda
com 15 sessões técnicas e práticas. 3. Tendências da indústria aeroespacia
l e de defesa: Descubra quais tecnologias estão impulsionando o desenvolvi
mento de sistemas de medição\, teste e controle para atender os exigentes
e complexos requisitos da indústria aeroespacial e de defesa. 4. Estudos d
e caso: Veja como empresas e instituições do segmento estão solucionando s
eus desafios\, com apresentações realizadas pelos próprios profissionais q
ue desenvolveram cada projeto. 5. Networking: Conheça outros profissionais
da área e compartilhe experiências durante os intervalos das palestras e
oficinas práticas\, em um ambiente com coffee-break e demonstrações de apl
icações práticas. INSCREVA_SE
X-ALT-DESC;FMTTYPE=text/html:  \; |  \;
 \; No dia 18 de outubro va
i acontecer o Aerospace &\; Defense Day Brasil\, o nosso evento anual p
ara profissionais da á\;rea de engenharia aeroná\;utica\, espa
cial e de defesa.
Destacamos os principais motivos para
você\; fazer a sua inscriç\;ã\;o:
<
strong>1. Especialistas internacionais: Profissi
onais renomados abordando questõ\;es globais e desafios tecnol&oacut
e\;gicos em evidê\;ncia na indú\;stria aeroespacial e no segmen
to de defesa.
2. Sessõ\;es t&eac
ute\;cnicas e oficinas prá\;ticas: Atualize seu conheciment
o explorando uma ampla agenda com 15 sessõ\;es té\;cnicas e pr
á\;ticas.
3. Tendê\;ncias d
a indú\;stria aeroespacial e de defesa: Descubra quais tecn
ologias estã\;o impulsionando o desenvolvimento de sistemas de medi&
ccedil\;ã\;o\, teste e controle para atender os exigentes e complexo
s requisitos da indú\;stria aeroespacial e de defesa.
4. Estudos de caso: Veja como empresas e in
stituiç\;õ\;es do segmento estã\;o solucionando seus des
afios\, com apresentaç\;õ\;es realizadas pelos pró\;prio
s profissionais que desenvolveram cada projeto.
5. Networking: Conheç\;a outros profissionais da
á\;rea e compartilhe experiê\;ncias durante os intervalos das
palestras e oficinas prá\;ticas\, em um ambiente com coffee-break e
demonstraç\;õ\;es de aplicaç\;õ\;es prá\;tic
as.
 \; INSCREVA_SE
CATEGORIES:Education
UID:20160920T1648110Z-511394-1016@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160921T140000
DTEND;TZID=America/Sao_Paulo:20160921T150000
SUMMARY:SpyGlass Power: Comprehensive Power Optimization Solution for Faste
r RTL Signoff
DESCRIPTION: Synopsys Power Verification & Analysis Webinar Series – 4 par
t series Web event: SpyGlass Power: Comprehensive Power Optimization Solut
ion for Faster RTL Signoff (Part 3 of 4) Date: September 21\, 2016 Time:10
:00 AM PDT Duration: 60 minutes In an electronic world driven by smaller d
evices packed with larger functions\, power becomes a critical factor to m
anage. With power consumption leading to heat dissipation issues\, reliabi
lity of the device can be affected\, if not controlled or the device not c
ooled. Moreover\, for mobile devices such as smartphones or tablets that r
un on battery\, low power consumption is essential. For a holistic solutio
n to the power problem\, it is important that this is addressed at the sou
rce\, i.e. the RTL design stage. In this webinar\, we will discuss how Spy
Glass Power delivers an integrated early power analysis and exploration so
lution that includes: estimation\, profiling\, reduction and exploration.
SpyGlass Power leverages the industry leading SpyGlass Platform and GuideW
are methodology for an easy to use and comprehensive flow for RTL signoff.
X-ALT-DESC;FMTTYPE=text/html:
 \; | Synopsys
Power Verification &\; Analysis Webinar Series &ndash\; 4 part series <
br />Web event: SpyGlass Power: Comprehensive Power Optim
ization Solution for Faster RTL Signoff (Part 3 of 4) Date:
strong> September 21\, 2016 Time:10:00 AM PDT <
br />Duration: 60 minutes
In an electronic wor
ld driven by smaller devices packed with larger functions\, power becomes
a critical factor to manage. With power consumption leading to heat dissip
ation issues\, reliability of the device can be affected\, if not controll
ed or the device not cooled. Moreover\, for mobile devices such as smartph
ones or tablets that run on battery\, low power consumption is essential.
For a holistic solution to the power problem\, it is important that this i
s addressed at the source\, i.e. the RTL design stage.
In this
webinar\, we will discuss how SpyGlass Power delivers an integrated early
power analysis and exploration solution that includes: estimation\, profil
ing\, reduction and exploration. SpyGlass Power leverages the industry lea
ding SpyGlass Platform and GuideWare methodology for an easy to use and co
mprehensive flow for RTL signoff. | &nbs
p\;
CATEGORIES:General
UID:20160920T1652080Z-511394-1017@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160922T140000
DTEND;TZID=America/Sao_Paulo:20160922T150000
SUMMARY:ON Semiconductor and Synopsys: ISO 26262 and Automotive DFT Require
ments
DESCRIPTION: Web event: ON Semiconductor and Synopsys: ISO 26262 and Autom
otive DFT Requirements Date: September 22\, 2016 Time:10:00 AM PDT Duratio
n: 45 minutes In this webinar\, you will learn about the ISO 26262 functio
nal safety standard and how it is driving DFT requirements today. ON Semic
onductor shares insights into their automotive functional safety culture\,
including lessons learned from adoption of ISO 26262 and the standard’s p
ositive impact on development of mixed-signal automotive ASIC designs. Syn
opsys discusses how our automotive test solution addresses ISO 26262 with
respect to DFT in safety-critical designs. You will hear how it enables th
e highest possible quality\, ensures long-term reliability and complies wi
th stringent software qualification requirements.
X-ALT-DESC;FMTTYPE=text/html:
 \; | W
eb event: ON Semiconductor and Synopsys: ISO 26262 and Automotive
DFT Requirements Date: September 22\, 2016 <
strong>Time:10:00 AM PDT Duration: 45 minu
tes In this webinar\, you will learn about the ISO 26262 func
tional safety standard and how it is driving DFT requirements today. ON Se
miconductor shares insights into their automotive functional safety cultur
e\, including lessons learned from adoption of ISO 26262 and the standard&
rsquo\;s positive impact on development of mixed-signal automotive ASIC de
signs. Synopsys discusses how our automotive test solution addresses ISO 2
6262 with respect to DFT in safety-critical designs. You will hear how it
enables the highest possible quality\, ensures long-term reliability and c
omplies with stringent software qualification requirements.
td> |  \;
CATEGORIES:Education
UID:20160920T1653420Z-511394-1018@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160927T140000
DTEND;TZID=America/Sao_Paulo:20160927T150000
SUMMARY:ON Semiconductor and Synopsys: ISO 26262 and Automotive DFT Require
ments
DESCRIPTION: Web event: How Reliable is Your FPGA Design? Tips and Tricks
for Building-in High Reliability and Functional Safety Date: September 27\
, 2016 Time:06:00 AM PDT Duration: 60 minutes The need for high-reliabilit
y and high-availability electronic systems has expanded beyond traditional
military and aerospace applications. This growing list includes data cent
er\, industrial automation and control\, automotive and medical systems th
at demand high uptime and high quality of service. Even electronic equipme
nt operating at sea level can experience radiation-induced “glitches”. Spe
cial design techniques are required to detect such faults and return the d
esign to safe and correct operation. In this webinar\, you will learn how
to automatically “build-in” high reliability with Synopsys Synplify Premie
r FPGA design tools. What will the audience learn: Topics covered will inc
lude: Which elements in the FPGA suffer the most from radiation effects\,
and why How and when to use redundancy (TMR\, Duplicate with Compare)\, Er
ror Detection and Correction (EDAC)\, and Scrubbing Techniques available t
o ensure safe operation of RAMs\, Finite State Machines\, Sequential logic
\, and design logic and the various considerations and trade-offs How to p
reserve critical portions of the design for debug and requirements traceab
ility Who should attend: All engineers/managers involved in industrial\, a
erospace\, communications\, automotive\, medical or other high reliability
designs using FPGAs\, you don’t want to miss this informative webinar
X-ALT-DESC;FMTTYPE=text/html:  \; |
| Web event
: How Reliable is Your FPGA Design? Tips and Tricks for Building-
in High Reliability and Functional Safety Date: Sep
tember 27\, 2016 Time:06:00 AM PDT Du
ration: 60 minutes The need for high-reliability and
high-availability electronic systems has expanded beyond traditional mili
tary and aerospace applications. This growing list includes data center\,
industrial automation and control\, automotive and medical systems that de
mand high uptime and high quality of service. Even electronic equipment op
erating at sea level can experience radiation-induced &ldquo\;glitches&rdq
uo\;. Special design techniques are required to detect such faults and ret
urn the design to safe and correct operation. In this webinar\, you will l
earn how to automatically &ldquo\;build-in&rdquo\; high reliability with S
ynopsys Synplify Premier FPGA design tools. What will
the audience learn: Topics covered will include: - Which elements in the FPGA suffer the most from radiation
effects\, and why
- How and when to use redundancy (TMR\, Duplicat
e with Compare)\, Error Detection and Correction (EDAC)\, and Scrubbing
- Techniques available to ensure safe operation of RAMs\, Finite Sta
te Machines\, Sequential logic\, and design logic and the various consider
ations and trade-offs
- How to preserve critical portions of the d
esign for debug and requirements traceability
W
ho should attend: All engineers/managers involved in
industrial\, aerospace\, communications\, automotive\, medical or other h
igh reliability designs using FPGAs\, you don&rsquo\;t want to miss this i
nformative webinar |
CATEGORIES:Education
UID:20160920T1655430Z-511394-1019@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160928T140000
DTEND;TZID=America/Sao_Paulo:20160928T150000
SUMMARY:Choosing the Best RAIN RFID Reader
DESCRIPTION:In this webinar\, we drill into the Impinj connectivity layer\,
focusing on Impinj’s handheld\, reader and gateway features to help you d
ecide which product is right for your project. Key takeaways: Learn key di
fferences between Impinj reader and gateway devices Determine which Impinj
connectivity device is best suited for your application Find out how to o
ptimize your solution by leveraging Impinj platform features Q&A about Imp
inj connectivity devices Save your seat and register today: http://hubs.im
pinj.com/webinar-choosing-the-best-rain-rfid-reader
X-ALT-DESC;FMTTYPE=text/html:In this webina
r\, we \;drill into the Impinj connectivity layer\, focusing on Impinj
&rsquo\;s handheld\, reader and gateway features to help you decide which
product is right for your project. Key takeaways: - Learn key differences between
Impinj reader and gateway devices
- Determine which Impinj connec
tivity device is best suited for your application
- Find out how t
o optimize your solution by leveraging Impinj platform features
-
Q&\;A \;about Impinj connectivity devices
Save your seat and register today: \;http://hubs.impinj.com/webinar-choosing-the-best-rain-rfid-reader
CATEGORIES:Education
UID:20160920T1657470Z-511394-1020@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160928T150000
DTEND;TZID=America/Sao_Paulo:20160928T160000
SUMMARY:Electric Rod Actuators vs. Hydraulic Cylinders: Comparing Performan
ce and Cost
DESCRIPTION:Electric rod-style actuators can achieve high-end hydraulic for
ces and are viable candidates for replacing hydraulic systems in many appl
ications. Hydraulic cylinders have been widely used in factory automation
equipment for decades because of the combination of high force and afforda
ble cost. Hydraulic cylinders are rugged\, relatively simple to deploy and
provide a low cost per unit of force. Their drawbacks include a larger sp
ace footprint\, regular maintenance and manual system adjustments for opti
mal system performance. In recent years\, advancements in electric rod act
uators (cylinders) have allowed engineers to create motion control systems
with smaller footprints and that are flexible\, precise and reliable with
increasingly larger force capacities. Electric servo systems can be more
costly to initially implement than hydraulic systems. However\, total cost
of ownership over the life of the equipment may be lower compared to hydr
aulic systems due to increased efficiency of operation with little or no m
aintenance. This webinar will help engineers consider how each technology
can provide the best overall solution for the same application. Engineers
will learn how specific factors affect the performance and cost of each te
chnology\, including: • Motion control capabilities • System components an
d footprint • Force capabilities • Speed capabilities • Temperature • Life
and maintenance of device • Data collection • Efficiency/utility costs •
Leaks and environmental concerns • Additional factors: noise\, shock loads
and side loads Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Electric rod-style actuators can achieve high-end hydraulic forces a
nd are viable candidates for replacing hydraulic systems in many applicati
ons. Hydraulic cylinders have been widely used in factory automation equip
ment for decades because of the combination of high force and affordable c
ost. Hydraulic cylinders are rugged\, relatively simple to deploy and prov
ide a low cost per unit of force. Their drawbacks include a larger space f
ootprint\, regular maintenance and manual system adjustments for optimal s
ystem performance. In recent years\, advancements in electric rod actuator
s (cylinders) have allowed engineers to create motion control systems with
smaller footprints and that are flexible\, precise and reliable with incr
easingly larger force capacities. Electric servo systems can be more costl
y to initially implement than hydraulic systems. However\, total cost of o
wnership over the life of the equipment may be lower compared to hydraulic
systems due to increased efficiency of operation with little or no mainte
nance. This webinar will help engineers consider how each technology can p
rovide the best overall solution for the same application. Engineers will learn how spec
ific factors affect the performance and cost of each technology\, includin
g: &bull\; Mot
ion control capabilities &bull\; System components and footprint &bull\; Force capabilities &bull\; Speed capabilities &b
ull\; Temperature &bull\; Life and maintenance of device &bu
ll\; Data collection &bull\; Efficiency/utility costs &bull\
; Leaks and environmental concerns &bull\; Additional factors: nois
e\, shock loads and side loads  \;
CATEGORIES:Education
UID:20160920T1702260Z-511394-1021@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160922T140000
DTEND;TZID=America/Sao_Paulo:20160922T150000
SUMMARY:Using Surface Analysis for Automotive Applications
DESCRIPTION:Materials used in the construction of automobiles have become m
ore advanced along with the breadth of analytical challenges. The surface
or layer chemistry of automotive materials is of considerable importance\,
from understanding the appearance of the paint finish\, to tailoring the
chemical activity of catalysts in the exhaust system. A widely used techni
que for surface chemical analysis is X-ray photoelectron spectroscopy (XPS
). In our 30-minute Webinar we examine the XPS technique and explains its
complementary nature to other materials science tools such as EDS and Rama
n spectroscopy. The Webinar also explores the information obtained by XPS\
, using examples from a broad range of automotive applications including p
aint coating defects\, lithium-ion battery analysis\, and metallurgical fa
ilures. An audience Q&A follows the technical presentation. Live Presentat
ion: Thursday\, Sept. 22\, 2016 10:00 am U.S. EDT Speaker: Dr. Tim Nunney\
, Ph.D. Product Manager\, Thermo Fisher Scientific Moderator: Lisa Arrigo
Custom Electronic Products Editor\, Tech Briefs Media For more i
nformation and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Materials used in the construction of autom
obiles have become more advanced along with the breadth of analytical chal
lenges. The surface or layer chemistry of automotive materials is of consi
derable importance\, from understanding the appearance of the paint finish
\, to tailoring the chemical activity of catalysts in the exhaust system.
A widely used technique for surface chemical analysis is X-ra
y photoelectron spectroscopy (XPS). In our 30-minute Webinar we examine th
e XPS technique and explains its complementary nature to other materials s
cience tools such as EDS and Raman spectroscopy.
The Webinar
also explores the information obtained by XPS\, using examples from a broa
d range of automotive applications including paint coating defects\, lithi
um-ion battery analysis\, and metallurgical failures.
An audi
ence Q&\;A follows the technical presentation.
Live Presentation: Thursday\, Sept. 22\, 2016 10:00 am U.S. EDT
Speaker:
Dr. Tim Nunney\, Ph.D. Product Manager\, Ther
mo Fisher Scientific
Moderator: Lisa Arrigo Cu
stom Electronic Products Editor\, Tech Briefs Media |  \; |
 \; |  \; |  \; |
| &nb
sp\; | For more information and to register\, click here. |
 \;
CATEGORIES:Education
UID:20160920T1703520Z-511394-1022@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160923T140000
DTEND;TZID=America/Sao_Paulo:20160923T150000
SUMMARY:High-speed imaging: From machine vision to event capture
DESCRIPTION:In a free webcast on September 23\, Jon Chouinard\, VP of Busin
ess Development\,1st Vision Inc\, and Peter Carellas\, President of Xcitex
Inc.\, will discuss the true definition of high-speed imaging\, the types
of hardware and software available for high-speed cameras\, how these tec
hnologies are impacting the machine vision market\, and different types of
applications of high-speed imaging on the low end and high end. What Yo
u'll Learn: How low-cost and high-cost high-speed imaging cameras are im
pacting the machine vision market What 'high speed imaging' means\, both o
n the low end and high end\, for machine vision and computer vision The ke
y parameters involved in specifying a high-speed camera and accessories Ap
plications of high-speed machine vision on the low end and high end The ha
rdware and software available for high-speed cameras Who Should Attend:
Those wishing to learn more about high-speed cameras and their specificati
ons Those wanting to learn about the various applications that high-speed
cameras could be used in Those looking to understand the true definition o
f high-speed imaging\, including the low-end and high-end of the technolog
y Systems developers\, engineering managers and end-users looking to deplo
y high-speed cameras Click Here to Register
X-ALT-DESC;FMTTYPE=text/html: In a free webcast on Septembe
r 23\, Jon Chouinard\, VP of Business Development\,1st Vision Inc\, and Pe
ter Carellas\, President of Xcitex Inc.\, will discuss the true definition
of high-speed imaging\, the types of hardware and software available for
high-speed cameras\, how these technologies are impacting the machine visi
on market\, and different types of applications of high-speed imaging on t
he low end and high end.  \; What You'll Learn:  \; - How low-cost and high-cost high-speed imaging camer
as are impacting the machine vision market
- What 'high speed imaging' means\,
both on the low end and high end\, for machine vision and computer vision
- T
he key parameters involved in specifying a high-speed camera and accessori
es
-
Applications of high-speed machine vision on the low end and high end
- The har
dware and software available for high-speed cameras
 \; Who Should Attend:
span> - Those wishing to learn more about high-speed cameras
and their specifications
- Those wanting to learn about the various applicati
ons that high-speed cameras could be used in
- Those looking to understand the
true definition of high-speed imaging\, including the low-end and high-end
of the technology
- Systems developers\, engineering managers and end-users lo
oking to deploy high-speed cameras
 \; Click Here to Register
CATEGORIES:Education
UID:20160920T1711440Z-511394-1023@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160920T160000
DTEND;TZID=America/Sao_Paulo:20160920T170000
SUMMARY:IoT Made Simple With IBM Watson IoT Platform
DESCRIPTION:By bringing together the physical and digital worlds\, the Inte
rnet of Things (IoT) vastly expands the reach and impact of information te
chnology\, offering transformational possibilities. Yet according to IBM\
, nearly 90% of IoT data is never utilized. Cognitive IoT can bring intel
ligence into things\, systems and processes so they can understand your go
als\, and then integrate and analyze the relevant data to help you achieve
them. IBM® Watson IoT offerings and ecosystem partners extend the power
of cognitive computing to connected devices and systems. IBM Watson IoT P
latform is a fully managed\, cloud-hosted service that makes it simple to
build and deploy apps for IoT devices\, sensors and gateways. It provides
solutions for device registration\, connectivity\, control\, rapid visuali
zation and storage of data derived from the IoT. When combined with the
IBM Bluemix® environment\, and access to secure Watson APIs\, the platfor
m allows you to integrate and analyze predictive\, cognitive\, and context
ual analytics for stronger decision-making. Avnet and IBM joined togethe
r to simplify the development cycle at every stage and give our customers
the power to unlock the potential of IoT. The two companies will provide
customers with a wide range of resources from the edge to the enterprise
to develop IoT solutions that effectively gather information\, connect to
the Internet\, and securely manage and analyze data. Attend this webinar t
o learn about how IBM Watson IoT Platform Register now for this webcast
X-ALT-DESC;FMTTYPE=text/html: By bri
nging together the physical and digital worlds\, the Internet of Things (I
oT) vastly expands the reach and impact of information technology\, offeri
ng transformational possibilities.  \;Yet according to IBM\, nearly 90
% of IoT data is never utilized.  \;Cognitive IoT can bring intelligen
ce into things\, systems and processes so they can understand your goals\,
and then integrate and analyze the relevant data to help you achieve them
.  \; IBM®\; Watson IoT offerings and ecosystem partne
rs extend the power of cognitive computing to connected devices and system
s. IBM Watson IoT Platform is a fully managed\, cloud-hosted service that
makes it simple to build and deploy apps for IoT devices\, sensors and gat
eways. It provides solutions for device registration\, connectivity\, cont
rol\, rapid visualization and storage of data derived from the IoT.  \
;  \;When combined with the IBM Bluemix®\; environment\, and access
to secure Watson APIs\, the platform allows you to integrate and analyze
predictive\, cognitive\, and contextual analytics for stronger decision-ma
king.  \; Avnet and IBM joined together to simplify the d
evelopment cycle at every stage and give our customers the power to unlock
the potential of IoT.  \; The two companies will provide customers wi
th a wide range of resources from the edge to the enterprise to develop Io
T solutions that effectively gather information\, connect to the Internet\
, and securely manage and analyze data. Attend this webinar t
o learn about how IBM Watson IoT Platform
CATEGORIES:Education
UID:20160920T1713380Z-511394-1024@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160921T140000
DTEND;TZID=America/Sao_Paulo:20160921T150000
SUMMARY:2017 NEC® and Machinery: How SCCR Code Changes Will Impact Procurem
ent\, Installation and Safety
DESCRIPTION:Click here to register at no cost. Imagine having purchased and
installed a brand new machine that is desperately needed to help meet pro
duction demands\, but it can't be used because there is a serious problem:
it's not compliant with code. The 2017 edition of the NEC® has new short-
circuit current rating (SCCR) requirements that will impact how machines a
re purchased and installed\, but will also enhance the safety for machine
operators and minimize risk of fire. Being unprepared can result in commis
sioning delays\, costly changes\, or even injury. However\, taking some ba
sic actions before machines are purchased and installed can significantly
simplify compliance and avoid these risks. In this webcast\, we will discu
ss new SCCR Code requirements\, how they impact personnel safety and machi
nery installations\, and some practical approaches to help achieve complia
nce. Eaton recommends referencing the 2017 NEC for the complete requiremen
ts of each Code section.
X-ALT-DESC;FMTTYPE=text/html: Cli
ck here to register at no cost. Imagine having purchased and installed a brand
new machine that is desperately needed to help meet production demands\,
but it can't be used because there is a serious problem: it's not complian
t with code. The 2017 edition of the NEC®\; has new short-circuit curre
nt rating (SCCR) requirements that will impact how machines are purchased
and installed\, but will also enhance the safety for machine operators and
minimize risk of fire. Being unprepared can result in commissioning delay
s\, costly changes\, or even injury. However\, taking some basic actions b
efore machines are purchased and installed can significantly simplify comp
liance and avoid these risks. In this webcast\, we will discuss new SCCR Code requirements\, how
they impact personnel safety and machinery installations\, and some pract
ical approaches to help achieve compliance. Eaton recommends referencing t
he 2017 NEC for the complete requirements of each Code section.
CATEGORIES:Education
UID:20160920T1719340Z-511394-1025@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160928T160000
DTEND;TZID=America/Sao_Paulo:20160928T170000
SUMMARY:Preserve the Lifeblood of Medical Devices – You've Got the Power!
DESCRIPTION:Why this webcast is important: Register now for this compliment
ary webcast and learn about new techniques and tools for solving power con
sumption test challenges when designing portable and implantable\, battery
-powered medical devices. The proliferation of portable and implantable me
dical devices - and the corresponding proliferation of the batteries withi
n them - present testing challenges related to battery qualification and a
ssurance of reliable and safe device performance as demanded by the critic
al nature of their unique applications. Today’s medical devices integrate
wireless connectivity\, high-speed digital processing\, and real-time moni
toring\, which introduce design challenges due to dynamic power consumptio
n requirements on the battery. Designers of medical devices such as blood
pressure monitors\, pulse oximeters\, insulin infusion pumps\, blood gluco
se monitors\, hearing aids\, implantable cardiac defibrillators and nebuli
zers use a variety of advanced hardware and software power-management tech
niques to optimize the battery run time. Evaluating power savings operatin
g modes are particularly challenging\, calling for an unprecedented dynami
c range of measurement\, and in turn this is driving innovation in test. C
oupled with this is the need to have a number of effective ways of visuali
zing and analyzing measurement results. Together these yield greater insig
hts for achieving longer battery run-time.” During this webcast\, we’ll sh
ow you how Keysight’s current drain analysis solution can measure dynamic
current drain from sub-microamperes to amperes in a single measurement acq
uisition and allows you to perform battery run down tests using the actual
medical device battery. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important: Regis
ter now for this complimentary webcast and learn about new techniques and
tools for solving power consumption test challenges when designing portabl
e and implantable\, battery-powered medical devices. The pro
liferation of portable and implantable medical devices - and the correspon
ding proliferation of the batteries within them - present testing challeng
es related to battery qualification and assurance of reliable and safe dev
ice performance as demanded by the critical nature of their unique applica
tions. Today&rsquo\;s medical devices integrate wireless con
nectivity\, high-speed digital processing\, and real-time monitoring\, whi
ch introduce design challenges due to dynamic power consumption requiremen
ts on the battery. Designers of medical devices such as blood pressure mon
itors\, pulse oximeters\, insulin infusion pumps\, blood glucose monitors\
, hearing aids\, implantable cardiac defibrillators and nebulizers use a v
ariety of advanced hardware and software power-management techniques to op
timize the battery run time. Evaluating power savings operating modes are
particularly challenging\, calling for an unprecedented dynamic range of m
easurement\, and in turn this is driving innovation in test. Coupled with
this is the need to have a number of effective ways of visualizing and ana
lyzing measurement results. Together these yield greater insights for achi
eving longer battery run-time.&rdquo\; During this webcast\,
we&rsquo\;ll show you how Keysight&rsquo\;s current drain analysis soluti
on can measure dynamic current drain from sub-microamperes to amperes in a
single measurement acquisition and allows you to perform battery run down
tests using the actual medical device battery. Register now for this live webcast
CATEGORIES:Education
UID:20160920T1721020Z-511394-1026@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160927T160000
DTEND;TZID=America/Sao_Paulo:20160927T170000
SUMMARY:PCI Express: Techniques for 16 Gbit Deployment
DESCRIPTION:Why this webcast is important: With PCI Express devices support
ing speeds of up to 16GBits per second\, many new challenges arise in the
area of signal integrity\, transmitter signal quality\, channel characteri
zation and especially receiver sensitivity testing. In this webcast\, we’l
l bring you up to speed on the tools and techniques you can use to be succ
essful with your PCI Express 4.0 devices and specifically what you’ll need
to prepare for to test the physical layer\, Gen4 requirements for your tr
ansmitter and receiver. Who should attend: Design engineers\, signal integ
rity engineers\, quality engineers\, validation engineers\, test engineers
\, architects\, project managers\, program managers\, application managers
\, and application engineers. Don't miss this live webcast!
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is
important: With PCI Express devices supporting speeds of up
to 16GBits per second\, many new challenges arise in the area of signal i
ntegrity\, transmitter signal quality\, channel characterization and espec
ially receiver sensitivity testing. In this webcast\, we&rsquo\;ll bring y
ou up to speed on the tools and techniques you can use to be successful wi
th your PCI Express 4.0 devices and specifically what you&rsquo\;ll need t
o prepare for to test the physical layer\, Gen4 requirements for your tran
smitter and receiver. Who should attend: Design engineers\, signal integrity e
ngineers\, quality engineers\, validation engineers\, test engineers\, arc
hitects\, project managers\, program managers\, application managers\, and
application engineers. <
strong>
CATEGORIES:Education
UID:20160920T1724000Z-511394-1027@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160921T170000
DTEND;TZID=America/Sao_Paulo:20160921T180000
SUMMARY:Avoid Product Launch Failure: Best Practices for Aligning Your Engi
neering and Quality Teams
DESCRIPTION:Creating quality products in our fast-paced world isn't easy. T
oday's leading companies face greater challenges with shorter development
cycles\, distributed supply chains\, and greater product complexity. Mecha
nical\, electrical\, software\, and other design teams must work together
to support agile\, lean\, and hybrid development processes. Join Tech-Clar
ity President Jim Brown and Arena Product Marketing Manager Ann McGuire fo
r a lively discussion and look into the best practices for aligning your m
echanical\, electrical\, software and quality teams throughout the entire
product lifecycle for maximum results. In this Webinar\, you will learn:
• Why product launch failure rates are growing in many industries • How
companies are removing barriers between design teams • What to do if yo
u want a single cohesive development-to-production process • Tools to en
sure your high quality products get to market on time For more informati
on and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html: Creating quality products in our fast-paced
world isn't easy. Today's leading companies face greater challenges with
shorter development cycles\, distributed supply chains\, and greater produ
ct complexity. Mechanical\, electrical\, software\, and other design teams
must work together to support agile\, lean\, and hybrid development proce
sses.
Join Tech-Clarity President Jim Brown and Arena Product
Marketing Manager Ann McGuire for a lively discussion and look into the b
est practices for aligning your mechanical\, electrical\, software and qua
lity teams throughout the entire product lifecycle for maximum results. In this Webinar\, you will learn:
 \; &bull\;
Why product launch failure rates are growing in many industries &nb
sp\; &bull\; How companies are removing barriers between design teams  \; &bull\; What to do if you want a single cohesive development-to
-production process  \; &bull\; Tools to ensure your high quali
ty products get to market on time  \; For more informa
tion and to register\, click here.
CATEGORIES:Education
UID:20160920T1727070Z-511394-1028@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20160921T160000
DTEND;TZID=America/Sao_Paulo:20160921T170000
SUMMARY:Using MEMS Accelerometers for Condition Monitoring
DESCRIPTION: Overview: Sponsored by Analog Devices and Arrow. Condition m
onitoring is a major component of predictive maintenance. This webcast wil
l highlight the benefits that MEMS Accelerometer technology brings to Cond
ition Monitoring. Intrinsic attributes of MEMS that make a compelling case
with respect to the liabilities of alternative technologies will be discu
ssed. Also\, progress with regard to performance will be reviewed\, with c
omparisons to accelerometer technology commonly used today. Who Should Att
end: Maintenance equipment and services vendors\; Sensor vendors\; provide
rs of vertically integrated Condition Monitoring products\; Industrial equ
ipment OEMs\; Machine Builder vendors\; Automation providers.
X-ALT-DESC;FMTTYPE=text/html:  \; Overview: Sponsored by Analog Device
s and Arrow. \; \;Condition monitoring is a major compone
nt of predictive maintenance. This webcast will highlight the benefits tha
t MEMS Accelerometer technology brings to Condition Monitoring. Intrinsic
attributes of MEMS that make a compelling case with respect to the liabili
ties of alternative technologies will be discussed. Also\, progress with r
egard to performance will be reviewed\, with comparisons to accelerometer
technology commonly used today. Who Should Attend: Maintenance equipment
and services vendors\; Sensor vendors\; providers of vertically integrated
Condition Monitoring products\; Industrial equipment OEMs\; Machine Build
er vendors\; Automation providers.  \;
| <
/table>  \; |  \; |  \; |  \; |  \; |
 \; |
CATEGORIES:Education
UID:20160920T1730400Z-511394-1029@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20161013T170000
DTEND;TZID=America/Sao_Paulo:20161013T180000
SUMMARY:Improving Customer Support with ThingWorx Analytics and IoT Machine
Data
DESCRIPTION: Offering world-class customer support and service in the Io
T era requires a comprehensive and scalable analytics platform that is wel
l-integrated with existing support workflows. With ThingWorx Analytics\, c
ompanies can deliver enhanced customer support\, resulting in reduction in
mean-time to repair (MTTR)\, offer enhanced service including proactive s
upport and predictive maintenance\, and offer value-add services that dire
ctly benefit customers. Join PTC and Glassbeam\, as they discuss how Thing
Worx Analytics can provide enhanced customer support for your business. Th
is webinar features a customer success story from Springpath highlighting
how machine data analytics is being used to optimize support operations in
the Hyper Converged Infrastructure (HCI) industry. During this webcast\,
you will learn: How to create long-term business value by incorporating Th
ingWorx Analytics into your IoT program How analyzing data captured throug
h machine logs can optimize the way you deliver customer service to your c
ustomers How product managers can use data from a variety of sources to ga
in actionable insights Sponsors: Brett Flinchum\, Vice President of Cust
omer Success\, Springpath Puneet Pandit\, CEO and President\, Glassbeam Ry
an Caplan\, General Manager\, ThingWorx Analytics\, PTC Moderator: Brando
n Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; | |  \; | | <
/td> | Offering world-class custo
mer support and service in the IoT era requires a comprehensive and scalab
le analytics platform that is well-integrated with existing support workfl
ows. With ThingWorx Analytics\, companies can deliver enhanced customer su
pport\, resulting in reduction in mean-time to repair (MTTR)\, offer enhan
ced service including proactive support and predictive maintenance\, and o
ffer value-add services that directly benefit customers. Join PTC
and Glassbeam\, as they discuss how ThingWorx Analytics can provide enhanc
ed customer support for your business. This webinar features a customer su
ccess story from Springpath highlighting how machine data analytics is bei
ng used to optimize support operations in the Hyper Converged Infrastructu
re (HCI) industry. During this webcast\, you will learn:
- How to create long-term business value by incorporating ThingWorx An
alytics into your IoT program
- How analyzing data captured throug
h machine logs can optimize the way you deliver customer service to your c
ustomers
- How product managers can use data from a variety of sou
rces to gain actionable insights
 \; |
Sponsors: Brett Flinchum\, Vice President of Customer Succ
ess\, Springpath Puneet Pandit\, CEO and President\, Glassbeam
Ryan Caplan\, General Manager\, ThingWorx Analytics\, PTC Moderator: \; Brandon Lewis\,
OpenSystems Media | <
td style='background-color: #3d4748\; color: #fff\; width: 650px\; font-fa
mily: Helvetica\,Arial\,sans-serif\; font-size: 13px\; font-weight: bold\;
text-align: center\; padding-top: 10px\; padding-bottom: 10px\; border-ra
dius: 6px\;'>REGISTER NOW | &n
bsp\;
CATEGORIES:Education
UID:20160930T1651460Z-511394-1030@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082604Z
DTSTART;TZID=America/Sao_Paulo:20161104T130000
DTEND;TZID=America/Sao_Paulo:20161104T140000
SUMMARY:How to Bridge IT and OT for Industry 4.0
DESCRIPTION: The internet of things has been a hot topic for past few ye
ars. Proof of concepts are rising in many industries. However\, for a mass
implementation in industrial playing field there are some big challenges
still. Common ones are: different communication protocols\, different stak
e holders\, and presenting the proof of value to the decision makers to ma
ke IoT investments. The value of IoT comes from bridging the operational t
echnology with information technology. Bringing the data and manageability
of OT to IT so valuable insights can be gained\, actions can be taken\, a
nd decisions can be made. But how can this be done efficiently if there ar
e so many protocols out there? Each implementation would require a deep di
ve of a spectrum of specialists to make it work\, and probably month’s if
not years of programming. If that is the case\, where is the value\, when
can the investments be earned back? IF that was the case. Through (field)
gateways you give even legacy OT modern connectivity and bringing the silo
OT systems together to a central server. But the questions remain\, how f
ast can this be implemented in real environments where you might have a do
zen PLC’s each with many I/O’s\, sensors\, devices attached to them. How d
o you realize bi-directional communication between the everything beneath
the gateways (OT)\, the server\, and the IT layer? In this webinar you wil
l learn what tools and building blocks Advantech provide to overcome these
challenges. One thing is for sure\, we can provide the tools and directio
ns\, but we cannot do it alone. Speaker: Tze Chiew\, Product Sales Manag
er\, Advantech Moderator: Curt Schwaderer\, OpenSystems Media REGISTER NO
W
X-ALT-DESC;FMTTYPE=text/html:  \;  \; The interne
t of things has been a hot topic for past few years. Proof of concepts are
rising in many industries. However\, for a mass implementation in industr
ial playing field there are some big challenges still. Common ones are: di
fferent communication protocols\, different stake holders\, and presenting
the proof of value to the decision makers to make IoT investments. <
p>The value of IoT comes from bridging the operational technology with inf
ormation technology. Bringing the data and manageability of OT to IT so va
luable insights can be gained\, actions can be taken\, and decisions can b
e made. But how can this be done efficiently if there are so many protocol
s out there? Each implementation would require a deep dive of a spectrum o
f specialists to make it work\, and probably month&rsquo\;s if not years o
f programming. If that is the case\, where is the value\, when can the inv
estments be earned back? IF that was the case. Through (field) gat
eways you give even legacy OT modern connectivity and bringing the silo OT
systems together to a central server. But the questions remain\, how fast
can this be implemented in real environments where you might have a dozen
PLC&rsquo\;s each with many I/O&rsquo\;s\, sensors\, devices attached to
them. How do you realize bi-directional communication between the everythi
ng beneath the gateways (OT)\, the server\, and the IT layer? In this webi
nar you will learn what tools and building blocks Advantech provide to ove
rcome these challenges. One thing is for sure\, we can provide the tools a
nd directions\, but we cannot do it alone.  \; | Speaker: Tze Chiew\, Product
Sales Manager\, Advantech Modera
tor: \; Curt Schwaderer\, OpenSystems Media |
CATEGORIES:Education
UID:20160930T1657070Z-511394-1031@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161010T170000
DTEND;TZID=America/Sao_Paulo:20161010T180000
SUMMARY:Embedded System Design Techniques™: Getting Started Developing Prof
essional Embedded Software - Day 1
DESCRIPTION:Many embedded software engineers get their start as electrical
engineers who get hooked on twiddling bits. They get a taste of life in th
e software lane and never look back. The problem is that without a traditi
onal background in computer science or software design\, converted embedde
d software engineers lack many fundamental skills in software architecture
\, C programming\, real-time systems\, software project management and ana
lysis. In this course\, taught by Jacob Beningo\, we are going to fill the
gaps in knowledge such as how to setup and use revision control\, make in
formed decisions on how to select the right tools and processors\, how to
get a clean project setup with assertions\, printf and debugging tools fro
m Day 1 rather than when a problem needs to be debugged. We will explore d
ocumentation and how to design our own hardware abstraction layers in orde
r to maximize code reuse and decrease time to market. October 10 - Day 1:
Electrical Engineers\, Computer Scientists and the Science of Selecting Mi
crocontrollers Selecting the right microcontroller for a product can be di
fficult into today’s development environment. In this session\, we’ll expl
ore how to select a microcontroller using the perspectives of both electri
cal and software engineers. Attendees will walk away with an understanding
of critical concepts that are required when starting to develop embedded
software.
X-ALT-DESC;FMTTYPE=text/html: Many embedded
software engineers get their start as electrical engineers who get hooked
on twiddling bits. They get a taste of life in the software lane and neve
r look back. The problem is that without a traditional background in compu
ter science or software design\, converted embedded software engineers lac
k many fundamental skills in software architecture\, C programming\, real-
time systems\, software project management and analysis. In t
his course\, taught by Jacob B
eningo\, we are going to fill the gaps in knowledge such as how to set
up and use revision control\, make informed decisions on how to select the
right tools and processors\, how to get a clean project setup with assert
ions\, printf and debugging tools from Day 1 rather than when a problem ne
eds to be debugged. We will explore documentation and how to design our ow
n hardware abstraction layers in order to maximize code reuse and decrease
time to market.
CATEGORIES:Education
UID:20160930T1701280Z-511394-1032@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161011T170000
DTEND;TZID=America/Sao_Paulo:20161011T180000
SUMMARY:Embedded System Design Techniques™: Getting Started Developing Prof
essional Embedded Software - Day 2
DESCRIPTION:Many embedded software engineers get their start as electrical
engineers who get hooked on twiddling bits. They get a taste of life in th
e software lane and never look back. The problem is that without a traditi
onal background in computer science or software design\, converted embedde
d software engineers lack many fundamental skills in software architecture
\, C programming\, real-time systems\, software project management and ana
lysis. In this course\, taught by Jacob Beningo\, we are going to fill the
gaps in knowledge such as how to setup and use revision control\, make in
formed decisions on how to select the right tools and processors\, how to
get a clean project setup with assertions\, printf and debugging tools fro
m Day 1 rather than when a problem needs to be debugged. We will explore d
ocumentation and how to design our own hardware abstraction layers in orde
r to maximize code reuse and decrease time to market. October 11 - Day 2:
The Tools of the Trade Having the right tool for the job is critical in an
y job but especially so for embedded software developers. In this session\
, we will explore important tools such as compilers\, optimization\, progr
amming languages along with analysis tools. Attendees will walk away for a
n understanding how open source and commercial tools compare in performanc
e\, efficiency and cost and which tradeoffs are most appropriate.
X-ALT-DESC;FMTTYPE=text/html:Many embedded
software engineers get their start as electrical engineers who get hooked
on twiddling bits. They get a taste of life in the software lane and neve
r look back. The problem is that without a traditional background in compu
ter science or software design\, converted embedded software engineers lac
k many fundamental skills in software architecture\, C programming\, real-
time systems\, software project management and analysis. In t
his course\, taught by Jacob B
eningo\, we are going to fill the gaps in knowledge such as how to set
up and use revision control\, make informed decisions on how to select the
right tools and processors\, how to get a clean project setup with assert
ions\, printf and debugging tools from Day 1 rather than when a problem ne
eds to be debugged. We will explore documentation and how to design our ow
n hardware abstraction layers in order to maximize code reuse and decrease
time to market. - October
11 - Day 2: The Tools of the Trade
Having the right too
l for the job is critical in any job but especially so for embedded softwa
re developers. In this session\, we will explore important tools such as c
ompilers\, optimization\, programming languages along with analysis tools.
Attendees will walk away for an understanding how open source and commerc
ial tools compare in performance\, efficiency and cost and which tradeoffs
are most appropriate.
CATEGORIES:Education
UID:20160930T1702190Z-511394-1033@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161012T170000
DTEND;TZID=America/Sao_Paulo:20161012T180000
SUMMARY:Embedded System Design Techniques™: Getting Started Developing Prof
essional Embedded Software - Day 3
DESCRIPTION:Many embedded software engineers get their start as electrical
engineers who get hooked on twiddling bits. They get a taste of life in th
e software lane and never look back. The problem is that without a traditi
onal background in computer science or software design\, converted embedde
d software engineers lack many fundamental skills in software architecture
\, C programming\, real-time systems\, software project management and ana
lysis. In this course\, taught by Jacob Beningo\, we are going to fill the
gaps in knowledge such as how to setup and use revision control\, make in
formed decisions on how to select the right tools and processors\, how to
get a clean project setup with assertions\, printf and debugging tools fro
m Day 1 rather than when a problem needs to be debugged. We will explore d
ocumentation and how to design our own hardware abstraction layers in orde
r to maximize code reuse and decrease time to market. October 12 - Day 3:
Managing Firmware Projects In this session\, attendees will explore the la
test methods and technologies available to properly manage an embedded sof
tware project. Attendees will walk away with an understanding of estimatin
g development times\, managing features\, integrating IDEs and managing re
vision control.
X-ALT-DESC;FMTTYPE=text/html:Many embedded
software engineers get their start as electrical engineers who get hooked
on twiddling bits. They get a taste of life in the software lane and neve
r look back. The problem is that without a traditional background in compu
ter science or software design\, converted embedded software engineers lac
k many fundamental skills in software architecture\, C programming\, real-
time systems\, software project management and analysis. In t
his course\, taught by Jacob B
eningo\, we are going to fill the gaps in knowledge such as how to set
up and use revision control\, make informed decisions on how to select the
right tools and processors\, how to get a clean project setup with assert
ions\, printf and debugging tools from Day 1 rather than when a problem ne
eds to be debugged. We will explore documentation and how to design our ow
n hardware abstraction layers in order to maximize code reuse and decrease
time to market. - October
12 - Day 3: Managing Firmware Projects
In this session\
, attendees will explore the latest methods and technologies available to
properly manage an embedded software project. Attendees will walk away wit
h an understanding of estimating development times\, managing features\, i
ntegrating IDEs and managing revision control.
CATEGORIES:Education
UID:20160930T1703100Z-511394-1034@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161013T170000
DTEND;TZID=America/Sao_Paulo:20161013T180000
SUMMARY:Embedded System Design Techniques™: Getting Started Developing Prof
essional Embedded Software - Day 4
DESCRIPTION:Many embedded software engineers get their start as electrical
engineers who get hooked on twiddling bits. They get a taste of life in th
e software lane and never look back. The problem is that without a traditi
onal background in computer science or software design\, converted embedde
d software engineers lack many fundamental skills in software architecture
\, C programming\, real-time systems\, software project management and ana
lysis. In this course\, taught by Jacob Beningo\, we are going to fill the
gaps in knowledge such as how to setup and use revision control\, make in
formed decisions on how to select the right tools and processors\, how to
get a clean project setup with assertions\, printf and debugging tools fro
m Day 1 rather than when a problem needs to be debugged. We will explore d
ocumentation and how to design our own hardware abstraction layers in orde
r to maximize code reuse and decrease time to market. October 13 - Day 4:
Creating an Embedded Workbench Starting a project right can determine whet
her it is poised for success or failure. Rarely do development teams start
with all the right resources configured in their software project. We wil
l setup an embedded workbench\, a baseline project that can be used to dev
elop embedded software. The project will include hooks that should be put
into a project\, baseline code analysis and metric recommendations\, setti
ng up assertions\, printf and trace along with the creation of documentati
on templates.
X-ALT-DESC;FMTTYPE=text/html:Many embedded
software engineers get their start as electrical engineers who get hooked
on twiddling bits. They get a taste of life in the software lane and neve
r look back. The problem is that without a traditional background in compu
ter science or software design\, converted embedded software engineers lac
k many fundamental skills in software architecture\, C programming\, real-
time systems\, software project management and analysis. In t
his course\, taught by Jacob B
eningo\, we are going to fill the gaps in knowledge such as how to set
up and use revision control\, make informed decisions on how to select the
right tools and processors\, how to get a clean project setup with assert
ions\, printf and debugging tools from Day 1 rather than when a problem ne
eds to be debugged. We will explore documentation and how to design our ow
n hardware abstraction layers in order to maximize code reuse and decrease
time to market. - October
13 - Day 4: Creating an Embedded Workbench
Starting a p
roject right can determine whether it is poised for success or failure. Ra
rely do development teams start with all the right resources configured in
their software project. We will setup an embedded workbench\, a baseline
project that can be used to develop embedded software. The project will in
clude hooks that should be put into a project\, baseline code analysis and
metric recommendations\, setting up assertions\, printf and trace along w
ith the creation of documentation templates.
CATEGORIES:Education
UID:20160930T1704160Z-511394-1035@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161014T170000
DTEND;TZID=America/Sao_Paulo:20161014T180000
SUMMARY:Embedded System Design Techniques™: Getting Started Developing Prof
essional Embedded Software - Day 5
DESCRIPTION:Many embedded software engineers get their start as electrical
engineers who get hooked on twiddling bits. They get a taste of life in th
e software lane and never look back. The problem is that without a traditi
onal background in computer science or software design\, converted embedde
d software engineers lack many fundamental skills in software architecture
\, C programming\, real-time systems\, software project management and ana
lysis. In this course\, taught by Jacob Beningo\, we are going to fill the
gaps in knowledge such as how to setup and use revision control\, make in
formed decisions on how to select the right tools and processors\, how to
get a clean project setup with assertions\, printf and debugging tools fro
m Day 1 rather than when a problem needs to be debugged. We will explore d
ocumentation and how to design our own hardware abstraction layers in orde
r to maximize code reuse and decrease time to market. October 14 - Day 5:
Software Architecture\, HALs and Best Practices The way that firmware is d
eveloped is beginning to change at a rapid rate. Designing a scalable soft
ware architecture that ties into a hardware abstraction layer can greatly
improve reusability which in turn decreases development costs and time mar
ket. In this session\, attendees will walk away with tips\, trick and best
practices for scaling their embedded software workbench to develop reusab
le embedded software.
X-ALT-DESC;FMTTYPE=text/html:Many embedded
software engineers get their start as electrical engineers who get hooked
on twiddling bits. They get a taste of life in the software lane and neve
r look back. The problem is that without a traditional background in compu
ter science or software design\, converted embedded software engineers lac
k many fundamental skills in software architecture\, C programming\, real-
time systems\, software project management and analysis. In t
his course\, taught by Jacob B
eningo\, we are going to fill the gaps in knowledge such as how to set
up and use revision control\, make informed decisions on how to select the
right tools and processors\, how to get a clean project setup with assert
ions\, printf and debugging tools from Day 1 rather than when a problem ne
eds to be debugged. We will explore documentation and how to design our ow
n hardware abstraction layers in order to maximize code reuse and decrease
time to market. - October
14 - Day 5: Software Architecture\, HALs and Best Practices <
br />The way that firmware is developed is beginning to change at a rapid
rate. Designing a scalable software architecture that ties into a hardware
abstraction layer can greatly improve reusability which in turn decreases
development costs and time market. In this session\, attendees will walk
away with tips\, trick and best practices for scaling their embedded softw
are workbench to develop reusable embedded software.
CATEGORIES:Education
UID:20160930T1705130Z-511394-1036@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161012T140000
DTEND;TZID=America/Sao_Paulo:20161012T150000
SUMMARY:Advancements in vision-guided robotics: 3D vision for clutter
DESCRIPTION:A free webcast on October 12th will provide an overview of rece
nt advancements in 3D computer vision software for such environments where
clutter is either unavoidable or too expensive to eliminate with special
purpose hardware like bowl-feeders or shake tables. What You'll Learn:
How 3D vision technology for object detection in clutter is integrated in
to robotic systems. What is possible with 3D vision in clutter today\, and
what will be possible in the near future. Benefits of deploying 3D vision
in clutter. Who Should Attend: Engineers and engineering managers looki
ng to deploy vision-guided robots Those interested in learning about the l
atest applications of vision in robotics applications End-users looking to
deploy vision-based robotic systems Register Now
X-ALT-DESC;FMTTYPE=text/html:A free webcast
on October 12th will provide an overview of recent advancements in 3D com
puter vision software for such environments where clutter is either unavoi
dable or too expensive to eliminate with special purpose hardware like bow
l-feeders or shake tables.  \; What You'll Learn
:  \;
- How 3D vision technology for object detection i
n clutter is integrated into robotic systems.
- What is possible with 3D vision
in clutter today\, and what will be possible in the near future.
li>
- Benefits of
deploying 3D vision in clutter.
 \; Who Should Attend
:
- Engineers a
nd engineering managers looking to deploy vision-guided robots
- Those interest
ed in learning about the latest applications of vision in robotics applica
tions
- End-users looking to deploy vision-based robotic systems
<
/ul>  \; Register Now
CATEGORIES:Education
UID:20160930T1708400Z-511394-1037@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161018T140000
DTEND;TZID=America/Sao_Paulo:20161018T150000
SUMMARY:Considering IoT Maintenance & Upgrades – IoT Panel Discussion
DESCRIPTION: IoT applications are popping up in every industry. This IoT
1.0 revolution has produced many compelling applications while providing
valuable metrics for improving the way we live\, learn\, work\, and play.
Once deployed\, we’re done\, right? Wrong! Building IoT systems without co
nsidering proper pro-active maintenance and end-to-end software compatibil
ity\, updates and upgrade strategies may find themselves with a solution d
oomed to be frozen with fixed features or significant service outages. Joi
n our experts as they discuss maintenance and software upgrade considerati
ons for deploying adaptable\, flexible IoT systems. Sponsors: Flexera So
ftware\, MicroEJ\, RTI\, and Wind River Moderator: Curt Schwaderer\, Open
Systems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; | |  \; IoT applications are popping up in ever
y industry. This IoT 1.0 revolution has produced many compelling applicati
ons while providing valuable metrics for improving the way we live\, learn
\, work\, and play. Once deployed\, we&rsquo\;re done\, right? Wrong! Buil
ding IoT systems without considering proper pro-active maintenance and end
-to-end software compatibility\, updates and upgrade strategies may find t
hemselves with a solution doomed to be frozen with fixed features or signi
ficant service outages. Join our experts as they discuss maintenance and s
oftware upgrade considerations for deploying adaptable\, flexible IoT syst
ems.
 \; | Sponsors: Flexera Software\, MicroEJ\, RTI\, and Wind River Moderator: \; Curt Schwaderer\, OpenS
ystems Media | |  \;
CATEGORIES:Education
UID:20160930T1712460Z-511394-1038@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161004T170000
DTEND;TZID=America/Sao_Paulo:20161004T180000
SUMMARY:Selecting the Appropriate Tape or Adhesive for Durable Assembly App
lications
DESCRIPTION:Learn the basics of using adhesives and tapes for a variety of
durable assembly applications. Discover the advantages of the various tech
nology options and a brief framework to help you downselect to an appropri
ate tape or adhesive technology that will be the best fit for your product
and process. Attend this webinar and you will: • Know the basic advanta
ges of adhesives and tapes compared to traditional joining methods and to
each other. • Learn to identify the factors in your assembly and process t
hat will help direct you to the appropriate adhesive or tape technology to
maximize the probability of success. • Discover the steps to move from tr
aditional joining to adhesive and tape assembly. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Learn the bas
ics of using adhesives and tapes for a variety of durable assembly applica
tions. Discover the advantages of the various technology options and a bri
ef framework to help you downselect to an appropriate tape or adhesive tec
hnology that will be the best fit for your product and process.
 \; | Attend this webinar and you will:
<
strong>&bull\; | Know the basic advantages of adhesives and ta
pes compared to traditional joining methods and to each other. |
&bull\; | Learn to identify the factors in your assembly and process th
at will help direct you to the appropriate adhesive or tape technology to
maximize the probability of success. | &bull\; | Discover the steps to move from traditional joining to adhesive
and tape assembly. | | | |  \;REGISTER
CATEGORIES:Education
UID:20160930T1716380Z-511394-1039@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161004T140000
DTEND;TZID=America/Sao_Paulo:20161004T150000
SUMMARY:Maximizing the performance of TIRF\, Super-resolution & Multiphoton
Microscopes by Optical Filters
DESCRIPTION:TIRF\, Super-resolution & Multiphoton fluorescence microscopy t
echniques continue to gain in popularity. This webcast will discuss ways o
f maximizing the performance of such imaging systems by utilizing applicat
ions specific optical filters. What You'll Learn: How to achieve optim
al performance from TIRF\, Super-resolution & Multiphoton microscopes by u
tilizing applications specific optical filters Controlling optical filter
induced aberrations in microscopy Tools for spectral evaluation of fluores
cence microscopes Who Should Attend: Optical system designers & Engineers
Microscopy end users Applications Scientists & Product Managers Cell Biolo
gist & Neuroscientists
X-ALT-DESC;FMTTYPE=text/html: TIRF\, Super-resolution &\; Multiphoton f
luorescence microscopy techniques continue to gain in popularity. This web
cast will discuss ways of maximizing the performance of such imaging syste
ms by utilizing applications specific optical filters.
 \; What You'll Learn
:  \; - How to achieve optimal performance fr
om TIRF\, Super-resolution &\; Multiphoton microscopes by utilizing app
lications specific optical filters
- Controlling optical filter induced aberrat
ions in microscopy
- Tools for spectral evaluation of fluorescence microscopes
Who Should Attend:
-
Optical system designers &\; Engineers
- Microscopy end users
- Applications Sci
entists &\; Product Managers
- Cell Biologist &\; Neuroscientists<
/li>
 \;
CATEGORIES:Education
UID:20160930T1720510Z-511394-1040@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161011T170000
DTEND;TZID=America/Sao_Paulo:20161011T180000
SUMMARY:Simplifying IoT Value Creation: Physical Infrastructure and Wireles
s Sensing
DESCRIPTION: Click here to register now at no cost. Achieving fast ROI fro
m smart manufacturing and digitization strategies is simplified using vali
dated physical architectures and innovative wireless sensing approaches. I
n this webcast\, we will look at how to build a strong physical infrastruc
ture foundation for innovation as well as easy to deploy wireless sensing
solution kit approach to bring in contextual data for data analytics and v
alue generation. We will review maturity model and other tools to chart yo
ur path as well as key steps to a successful IoT wireless sensing project.
Learning Objectives: Understand how to leverage a maturity model to asses
s physical infrastructure readiness for IoT and to plan next steps. Unders
tand a building block approach to migrating industrial networks to address
security\, availability and performance targets required for IoT value cr
eation. Learn about new wireless mesh sensing approach to collecting granu
lar environmental data for IoT data analytics.
X-ALT-DESC;FMTTYPE=text/html:
p>  \; <
a href='http://promo.cfemedia.com//lt.php?id=ehkJAFEKUAoOHlVVUwdNUAAHXFMI'
target='_blank' data-saferedirecturl='https://www.google.com/url?hl=pt-BR
&\;q=http://promo.cfemedia.com//lt.php?id%3DehkJAFEKUAoOHlVVUwdNUAAHXFM
I&\;source=gmail&\;ust=1475340155195000&\;usg=AFQjCNG61I2UpJzR532
NPFifm8N4Rm3jEg'>Click here to register now at no cost.<
/p> Achieving fast ROI from smart manufacturing and digitization strat
egies is simplified using validated physical architectures and innovative
wireless sensing approaches. In this webcast\, we will look at how to buil
d a strong physical infrastructure foundation for innovation as well as ea
sy to deploy wireless sensing solution kit approach to bring in contextual
data for data analytics and value generation. We will review maturity mod
el and other tools to chart your path as well as key steps to a successful
IoT wireless sensing project. Learning Objectives: - Understand how to leverage a maturity model to assess ph
ysical infrastructure readiness for IoT and to plan next steps.
-
Understand a building block approach to migrating industrial networks to a
ddress security\, availability and performance targets required for IoT va
lue creation.
- Learn about new wireless mesh sensing approach to
collecting granular environmental data for IoT data analytics.
CATEGORIES:Education
UID:20160930T1723140Z-511394-1041@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161018T160000
DTEND;TZID=America/Sao_Paulo:20161018T170000
SUMMARY: NFC Automated Device Validation Using an Oscilloscope
DESCRIPTION:Why this webcast is important: Attention: Hardware design and m
anufacturing test engineers who have designs using NFC. Testing Near Field
Communication (NFC)-enabled devices is essential during the design valida
tion phase\, as well as during manufacturing test to ensure quality and re
liability of data transmission. This is especially important when you cons
ider that the data being transferred between NFC-enabled devices is often
secured financial transactions. Engineers today use a variety of tools dur
ing the design phase to verify NFC operation including dedicated one-box N
FC testers and oscilloscopes. During this webcast we’ll provide an overvie
w of NFC technology and then discuss some of the measurements required to
ensure that NFC devices meet critical specifications. We’ll explain how to
simplify NFC design and test by using a new integrated oscilloscope-based
NFC test system that can be used as an automated pass/fail tester\, as we
ll as a manual troubleshooting tool with advanced NFC triggering. Register
now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Wh
y this webcast is important: Attention: Hardware design an
d manufacturing test engineers who have designs using NFC. T
esting Near Field Communication (NFC)-enabled devices is essential during
the design validation phase\, as well as during manufacturing test to ensu
re quality and reliability of data transmission. This is especially import
ant when you consider that the data being transferred between NFC-enabled
devices is often secured financial transactions. Engineers t
oday use a variety of tools during the design phase to verify NFC operatio
n including dedicated one-box NFC testers and oscilloscopes.
During this webcast we&rsquo\;ll provide an overview of NFC technology an
d then discuss some of the measurements required to ensure that NFC device
s meet critical specifications. We&rsquo\;ll explain how to simplify NFC d
esign and test by using a new integrated oscilloscope-based NFC test syste
m that can be used as an automated pass/fail tester\, as well as a manual
troubleshooting tool with advanced NFC triggering.
CATEGORIES:Education
UID:20160930T1730520Z-511394-1042@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161025T170000
DTEND;TZID=America/Sao_Paulo:20161025T180000
SUMMARY:The Low-Risk Path to Building Autonomous Car Architectures
DESCRIPTION: Developing an in-car architecture is perhaps the greatest tec
hnical risk for any company starting an Autonomous Car program. Selection
of architecture is a long-term decision that must last for a decade or mor
e and impacts performance\, features and cost. With this major investment
in the development of new\, complex software for a connected and autonomou
s car\, how are automotive companies to choose the right path forward? In
this live webinar\, listen to leaders in the embedded software and safety
critical systems industry talk about best practices for automotive and com
mercial autonomous architectures. Learn how to build a system to meet ISO-
26262 safety requirements with minimal pain by leveraging integrated safet
y certified software. Find out how to use architecture and communication f
rameworks that can span your network – from in-car embedded systems to bac
kend cloud network – to accelerate development and unlock the value from a
utomated and connected cars. Speaker: Bob Leigh\, Director of New Markets\
, RTI Thomas Bloor\, Automotive Business Development Manager\, QNX Softwar
e Systems Moderator: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | | Developing an in-car architectu
re is perhaps the greatest technical risk for any company starting an Auto
nomous Car program. Selection of architecture is a long-term decision that
must last for a decade or more and impacts performance\, features and cos
t. With this major investment in the development of new\, complex software
for a connected and autonomous car\, how are automotive companies to choo
se the right path forward? In this live webinar\, listen to leader
s in the embedded software and safety critical systems industry talk about
best practices for automotive and commercial autonomous architectures. Le
arn how to build a system to meet ISO-26262 safety requirements with minim
al pain by leveraging integrated safety certified software. Find out how t
o use architecture and communication frameworks that can span your network
&ndash\; from in-car embedded systems to backend cloud network &ndash\; t
o accelerate development and unlock the value from automated and connected
cars. | Speaker: Bob Leigh\, Director of N
ew Markets\, RTI Thomas Bloor\, Automotive Business Development Mana
ger\, QNX Software Systems Modera
tor: \; Curt Schwaderer\, OpenSystems Media |
 \;
CATEGORIES:Education
UID:20160930T1733280Z-511394-1043@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161013T160000
DTEND;TZID=America/Sao_Paulo:20161013T170000
SUMMARY:Know Thy Power: Webcast on Power Analysis for Energy Conversion Dev
ices
DESCRIPTION: Why this webcast is important: This webcast is designed for e
ngineers\, scientist\, and technical staff who need to perform detailed el
ectrical characterization of inverters\, motors\, and other power systems.
Power efficiency and reliability are critical to designs across a wide va
riety of energy products. The complex\, high reliability demands of today’
s electronic systems and high efficiency motors require a thorough analysi
s of the power conversion circuits. Proper evaluation of a product’s effic
iency depends on accurate power measurements. There are a variety of ways
to measure power and some are more accurate than others. We’ll provide det
ails on the most important power parameters\, and we’ll discuss and demo m
easurement methods used to characterize and evaluate any power conversion
device design for both DC and AC single or three phase systems. Who should
view this webcast: Engineers\, scientists\, and technical staff who need
to perform detailed electrical characterization of inverters\, motors or o
ther power systems would benefit from attending this workshop. Register no
w for this live webcast
X-ALT-DESC;FMTTYPE=text/html: \; Why this webcast
is important: This webcast is designed for engineers\, sc
ientist\, and technical staff who need to perform detailed electrical char
acterization of inverters\, motors\, and other power systems. Power efficiency and reliability are criti
cal to designs across a wide variety of energy products. The complex\, hig
h reliability demands of today&rsquo\;s electronic systems and high effici
ency motors require a thorough analysis of the power conversion circuits.<
/p> Proper evaluation of a product
&rsquo\;s efficiency depends on accurate power measurements. There are a v
ariety of ways to measure power and some are more accurate than others. We&rsquo\;ll provide details on
the most important power parameters\, and we&rsquo\;ll discuss and demo me
asurement methods used to characterize and evaluate any power conversion d
evice design for both DC and AC single or three phase systems. Who should view this webcast: Engineers\, scientists\, and technical staff who need to per
form detailed electrical characterization of inverters\, motors or other p
ower systems would benefit from attending this workshop.
CATEGORIES:General
UID:20160930T1737530Z-511394-1044@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161011T160000
DTEND;TZID=America/Sao_Paulo:20161011T170000
SUMMARY: Impossible Low-Current Measurements...Made Possible
DESCRIPTION:Why this webcast is important: Register now for this compliment
ary webcast and learn how to optimize battery life in battery-operated med
ical device designs. We’ll show you how impossible low-current measurement
s are now possible using Keysight's new device current waveform analyzer.
Battery life is important in all portable electronic devices\, but for med
ical devices\, a properly functioning battery can be life-critical. Today’
s medical devices integrate combinations of device monitoring\, sensing\,
digital processing\, and wired or wireless communication features that gen
erate highly dynamic power consumption profiles. Medical device designers
face ever-increasing demands for longer battery life\, especially for impl
antable and safety-critical external devices. As a result\, the demand for
sophisticated current measurement hardware has never been greater. Device
current waveform analyzers enable sub-nanoampere\, high bandwidth measure
ments with a simple user interface\, powerful visualization tools\, and au
tomatic current profiling features for quick\, high-accuracy insights into
battery life. Who should view this webcast: Design and test engineers who
develop portable\, implantable\, or other medical devices where analyzing
and understanding power consumption is critical. Register now for this li
ve webcast
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is important: Register now for this compliment
ary webcast and learn how to optimize battery life in battery-operated med
ical device designs. We&rsquo\;ll show you how impossible low-current meas
urements are now possible using Keysight's new device current waveform ana
lyzer. Battery life is import
ant in all portable electronic devices\, but for medical devices\, a prope
rly functioning battery can be life-critical. Today&rsquo\;s medical devic
es integrate combinations of device monitoring\, sensing\, digital process
ing\, and wired or wireless communication features that generate highly dy
namic power consumption profiles. Medical device designers face ever-incre
asing demands for longer battery life\, especially for implantable and saf
ety-critical external devices. As a result\, the demand for sophisticated current measurement hardware
has never been greater. Device current waveform analyzers enable sub-nanoa
mpere\, high bandwidth measurements with a simple user interface\, powerfu
l visualization tools\, and automatic current profiling features for quick
\, high-accuracy insights into battery life. Who should view this webcast: Design and
test engineers who develop portable\, implantable\, or other medical devi
ces where analyzing and understanding power consumption is critical.
Register now for this live webcast
CATEGORIES:Education
UID:20160930T1740500Z-511394-1045@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161018T170000
DTEND;TZID=America/Sao_Paulo:20161018T180000
SUMMARY:How to Avoid Rookie Mistakes in Acoustic Measurements
DESCRIPTION: In this informative webinar\, common pitfalls and recommended
best practices when making acoustics measurements will be addressed. The
different types of microphones will be explained and guidelines will be gi
ven for choosing the right microphone for your application. Several differ
ent levels of microphone calibration will be discussed as well as how to c
hoose the level that is best matched to your application. Once your microp
hone is selected and calibrated\, there are still many potential pitfalls.
Learn how to choose the right microphone averaging technique\, how to und
erstand reflective surfaces\, minimize unwanted inputs\, choose the correc
t frequency weighting\, and understand near-field and far-field concepts.
Click here to register.
X-ALT-DESC;FMTTYPE=text/html: |
table>  \; In this informative webinar\, common pitfalls and recommended best pr
actices when making acoustics measurements will be addressed. The differen
t types of microphones will be explained and guidelines will be given for
choosing the right microphone for your application. Several different leve
ls of microphone calibration will be discussed as well as how to choose th
e level that is best matched to your application. Once your microphone is
selected and calibrated\, there are still many potential pitfalls. Learn h
ow to choose the right microphone averaging technique\, how to understand
reflective surfaces\, minimize unwanted inputs\, choose the correct freque
ncy weighting\, and understand near-field and far-field concepts.
p> Click here to register.
CATEGORIES:Education
UID:20160930T1744350Z-511394-1046@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161012T170000
DTEND;TZID=America/Sao_Paulo:20161012T180000
SUMMARY:Pumped Two Phase Cooling: The Advanced Thermal Management Solution
for Emerging High Power Electronics Applications
DESCRIPTION:Dear HENRIQUE\, As the demand for higher power in lighter\, sma
ller packages continues to increase\, so does the need for a more advanced
thermal management solution. Designs that once utilized the cooling capab
ilities of a pumped liquid system have now surpassed their failure thresho
ld. This Webinar will provide engineers with an understanding of the diffe
rences between pumped single-phase and pumped two-phase cooling. In this W
ebinar\, We will: • Address the benefits of pumped two-phase cooli
ng • Determine the thermal challenges that require the more advanc
ed technology • Discuss the benefits of a vapor compression system
for zero-gravity environments For more information and to register\
, click here.
X-ALT-DESC;FMTTYPE=text/html:Dear HENRIQUE\,
As the demand
for higher power in lighter\, smaller packages continues to increase\, so
does the need for a more advanced thermal management solution. Designs tha
t once utilized the cooling capabilities of a pumped liquid system have no
w surpassed their failure threshold.
This Webinar will provid
e engineers with an understanding of the differences between pumped single
-phase and pumped two-phase cooling.
In this Webinar\, We wil
l: <
tbody>  \; |  \; |
 \; |  
\; | &bull\; | Address the benefits of pumped two-phase cooling |
 \; |  \; |  \; |  \; | <
td style='color: #000000\; font-family: Arial\,Helvetica\,Sans-Serif\; fon
t-size: 13px\; font-weight: bold\; line-height: 18px\;' align='left' valig
n='top'>&bull\; Determine the thermal challenges that require the more advanced
technology |  \; |  \;
|  \; |  \; | &bull\; | Discuss the benefits of a vapor compression sys
tem for zero-gravity environments |  \; |  \; |  \; | For more information and to register\, click here.
CATEGORIES:General
UID:20160930T1746470Z-511394-1047@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161025T160000
DTEND;TZID=America/Sao_Paulo:20161025T170000
SUMMARY:Setting up a Lamp and Luminaire Photometry Lab for Today and Tomorr
ow
DESCRIPTION:This session will help those working with lighting understand o
ptical specifications\, learn how to separate truth from fiction and how t
o measure and express the output of LEDs\, luminaires and other lighting p
roducts in both total output and spatial intensity distribution. What Yo
u'll Learn: Understand the jargon and metrics used to express light and
lighting Learn to properly express the performance of your products Learn
how to make accurate measurements of flux\, intensity and colors Create ph
otometric files for lighting design Stay informed on DOE requirements and
IES Test and Measurements Guidelines and recommended practices. Who Should
Attend: Engineers and Technicians of Lamp and Luminares Manufactures Mana
ging Directors of Quality and Test
X-ALT-DESC;FMTTYPE=text/html:This session will help those
working with lighting understand optical specifications\, learn how to sep
arate truth from fiction and how to measure and express the output of LEDs
\, luminaires and other lighting products in both total output and spatial
intensity distribution.  \; What You'll Learn:  \; - Understand the jargon and metrics used to express light and lightin
g
- L
earn to properly express the performance of your products
- Learn how to make a
ccurate measurements of flux\, intensity and colors
- Create photometric files
for lighting design
- Stay informed on DOE requirements and IES Test and Measur
ements Guidelines and recommended practices.
Who Should Attend
span>:
- Engineers and Technicians o
f Lamp and Luminares Manufactures
- Managing Directors of Quality and Test
 \;  \;
CATEGORIES:Education
UID:20161011T1819470Z-511394-1048@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161026T170000
DTEND;TZID=America/Sao_Paulo:20161026T180000
SUMMARY:PAM4 Electrical: How to Test for the Latest Specifications
DESCRIPTION:Overview: The accelerated development of 400G Ethernet techno
logy is driving new requirements through the test community as it relates
to measuring electrical PAM4 systems. This presentation will review PAM4 m
easurement methodologies and expand on emerging needs related to FFE refer
ence equalization\, clock recovery challenges\, BER measurement needs as w
ell as SNDR as it relates to multi-level signaling. An overview of the rec
ent CEI and IEEE spec revisions will also be included. Attend this webinar
to learn about: Learn the latest updates to CEI and IEEE specs Gain insig
ht on FFE reference equalization needs Overcome challenges with clock reco
very Get an In-depth look at SNDR as it relates to multi-level signaling »
Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview: <
table border='0' width='400' cellspacing='0' cellpadding='0'>  \; | The accelerated development of 400G Ethernet technology is driving new
requirements through the test community as it relates to measuring electr
ical PAM4 systems. This presentation will review PAM4 measurement methodol
ogies and expand on emerging needs related to FFE reference equalization\,
clock recovery challenges\, BER measurement needs as well as SNDR as it r
elates to multi-level signaling. An overview of the recent CEI and IEEE sp
ec revisions will also be included.
| Attend this webinar to learn about: | - Learn the latest updates to CE
I and IEEE specs
- Gain insight on FFE reference equalization need
s
- Overcome challenges with clock recovery
- Get an In-de
pth look at SNDR as it relates to multi-level signaling
|
»\; Register Today |
 \;
CATEGORIES:Education
UID:20161011T1828540Z-511394-1052@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161110T140000
DTEND;TZID=America/Sao_Paulo:20161110T150000
SUMMARY:Applying HPEC and Deep Learning Technology to Defense Systems
DESCRIPTION: Technologies leveraged from advanced computing at data center
s are providing new ways to tackle defense missions across embedded platfo
rms. Deep learning is an increasingly popular approach to processing very
large data sets. Many high-visibility projects involved with image process
ing and data mining such as the US Department of Homeland Security’s Synth
etic Environment for Analysis and Simulations (SEAS) project use deep lear
ning techniques to predict and evaluate future events and courses of actio
n. While deep learning methodologies are not exactly new\, the processing
power needed for such complex applications is finally becoming small and l
ow-power enough for packaging into embedded computing systems. Attend the
webinar to find out more about: How deep learning algorithms can be applie
d to HPEC problems such as image processing and signal intelligence (SIGIN
T) applications Performance-intense processing improvements with the newes
t Intel processors Kontron’s StarVX HPEC system which provides a compact\,
high-density deployable platform for deep learning or other HPEC applicat
ions Speaker: Mark Littlefield\, Vertical Product Manager\, Defense\, Ko
ntron Dr. Mohamed Bergach\, System/Software Architect\, Kontron Moderator:
John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | Technologies leveraged from advanced computing at data centers are p
roviding new ways to tackle defense missions across embedded platforms. De
ep learning is an increasingly popular approach to processing very large d
ata sets. Many high-visibility projects involved with image processing and
data mining such as the US Department of Homeland Security&rsquo\;s Synth
etic Environment for Analysis and Simulations (SEAS) project use deep lear
ning techniques to predict and evaluate future events and courses of actio
n. While deep learning methodologies are not exactly new\, the processing
power needed for such complex applications is finally becoming small and l
ow-power enough for packaging into embedded computing systems. Att
end the webinar to find out more about: - How deep learning a
lgorithms can be applied to HPEC problems such as image processing and sig
nal intelligence (SIGINT) applications
- Performance-intense proce
ssing improvements with the newest Intel processors
- Kontron&rsqu
o\;s StarVX HPEC system which provides a compact\, high-density deployable
platform for deep learning or other HPEC applications
&nb
sp\; | Speaker: Mark Littlefield\, Vertical
Product Manager\, Defense\, Kontron Dr. Mohamed Bergach\, System/So
ftware Architect\, Kontron Modera
tor: \; John McHale\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20161011T1830140Z-511394-1053@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161019T160000
DTEND;TZID=America/Sao_Paulo:20161019T170000
SUMMARY:Improve Your Data Acquisition IQ!
DESCRIPTION:Why this webcast is important: There are a number of test equip
ment choices in the data acquisition market\, ranging from plug-in modules
to standalone data acquisition units. To select the optimal equipment for
your application\, it is important for you to evaluate your measurement p
erformance needs\, including sampling rate\, channel count\, amplitude res
olution\, and accuracy. With so many choices available today\, you will wa
nt to choose a flexible solution that can be easily reconfigured for your
applications. Data acquisition is a term that encompasses a wide range of
measurement applications\, all of which require some form of characterizat
ion\, monitoring\, or control. No matter what the specific application\, a
ll data acquisition systems either measure a physical parameter (temperatu
re\, pressure\, flow\, etc.) or take a specific action (sound an alarm\, t
urn on a light\, etc.) based on the data received. Simply put\, data acqui
sition is about measuring parameters of physical systems to gain insight i
nto their behavior. The process of data acquisition includes sensing physi
cal behavior\, converting this behavior to electrical signals\, sampling t
hese signals\, and analyzing them to gain insight into the behavior. This
webcast will cover ideas for improving your data acquisition knowledge and
skills. Major points of discussion: The merging of engineering discipline
s A basic definition of data acquisition Theoretical signals and the real
world Difference between transducers and sensors The purpose of signal con
ditioning Does resolution lead to accuracy? Is this a static or dynamic me
asurement? Transforming the time and frequency domain Data acquisition sys
tem types (architectures) Who should attend: This webcast is intended for
engineers\, and technicians who are responsible for the physical (electro-
mechanical) design validation of their companies’ products. Also\, for sci
entists and engineers of national laboratories involved in research\, and
engineering departments at universities involved in research and education
. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:
<
/strong> Why
this webcast is important: There are
a number of test equipment choices in the data acquisition market\, rangin
g from plug-in modules to standalone data acquisition units. To select the
optimal equipment for your application\, it is important for you to evalu
ate your measurement performance needs\, including sampling rate\, channel
count\, amplitude resolution\, and accuracy. With so many choices availab
le today\, you will want to choose a flexible solution that can be easily
reconfigured for your applications. Data acquisition is a term that encompasses a
wide range of measurement applications\, all of which require some form o
f characterization\, monitoring\, or control. No matter what the specific
application\, all data acquisition systems either measure a physical param
eter (temperature\, pressure\, flow\, etc.) or take a specific action (sou
nd an alarm\, turn on a light\, etc.) based on the data received. Simply p
ut\, data acquisition is about measuring parameters of physical systems to
gain insight into their behavior. The process of data acquisition include
s sensing physical behavior\, converting this behavior to electrical signa
ls\, sampling these signals\, and analyzing them to gain insight into the
behavior. This webcast will cover ideas for improving your data acquisitio
n knowledge and skills. Major points of discussion: - The merging of engineering disciplines
li>
- A basic definition of data acquisition
- Theoretical signals and the real world
- Difference between transducers and sensors
- The purpose of signal conditioning
- Does resolu
tion lead to accuracy?
- Is this a static or dynam
ic measurement?
- Transforming the time and freque
ncy domain
- Data acquisition system types (archit
ectures)
Who should a
ttend: This webcast is intended for engineers\, and technic
ians who are responsible for the physical (electro-mechanical) design vali
dation of their companies&rsquo\; products. Also\, for scientists and engi
neers of national laboratories involved in research\, and engineering depa
rtments at universities involved in research and education.
CATEGORIES:Education
UID:20161011T1832430Z-511394-1054@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161012T150000
DTEND;TZID=America/Sao_Paulo:20161012T160000
SUMMARY:High Voltage InnoSwitch™ Power Supply IC Families for Appliances\,
Industrial\, and Utility Meter Applications
DESCRIPTION:Overview: Attend this one hour webinar to learn how to apply
a new technology that is revolutionizing power supply design. InnoSwitch™
power-supply ICs combine primary\, secondary and feedback circuits into a
single\, worldwide safety-rated\, surface-mount package. No optocoupler is
required. InnoSwitch ICs have been widely and enthusiastically embraced b
y major OEMs around the world for their inherent simplicity\, excellent pe
rformance and unmatched manufacturing yield for the power supply manufactu
rers. This webinar will present expanded offering of InnoSwitch products w
ith higher voltage rated (725V\, 900V MOSFETs) and features specifically d
esigned for appliances\, industrial\, and utility meter markets. Attend th
is webinar to learn about: Technology that produces highly-efficient\, ver
y accurate and reliable power supply circuits without an octocoupler Key f
eatures and operation of InnoSwitch power conversion ICs for appliances\,
utility meters and auxiliary industrial power supplies Best practices to m
eet global energy-efficiency standards » Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview: <
table border='0' width='400' cellspacing='0' cellpadding='0'>  \; | Attend this one hour webinar to learn how to apply a new technolo
gy that is revolutionizing power supply design. InnoSwitch&trade\; power-s
upply ICs combine primary\, secondary and feedback circuits into a single\
, worldwide safety-rated\, surface-mount package. No optocoupler is requir
ed. InnoSwitch ICs have been widely and enthusiastically embraced
by major OEMs around the world for their inherent simplicity\, excellent p
erformance and unmatched manufacturing yield for the power supply manufact
urers. This webinar will present expanded offering of InnoSwitch products
with higher voltage rated (725V\, 900V MOSFETs) and features specifically
designed for appliances\, industrial\, and utility meter markets. | Attend this webinar
to learn about: | - Techno
logy that produces highly-efficient\, very accurate and reliable power sup
ply circuits without an octocoupler
- Key features and operation o
f InnoSwitch power conversion ICs for appliances\, utility meters and auxi
liary industrial power supplies
- Best practices to meet global en
ergy-efficiency standards
| »\; Register Today |  \
;
CATEGORIES:Education
UID:20161011T1834230Z-511394-1055@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161012T140000
DTEND;TZID=America/Sao_Paulo:20161012T150000
SUMMARY:Faster Development and Test of Automotive Network Software Using Vi
rtual Hardware ECUs
DESCRIPTION:Available On: Wednesday\, October 12\, 2016 Electrical and elec
tronic architecture evolution is having a direct impact on automotive netw
orks. When it comes to in-vehicle networks\, Ethernet is the fastest growi
ng network. With the increased software context and connectivity via Ether
net\, the required testing effort is continuously growing. As a result\, d
evelopment teams need to accelerate the development and test of Ethernet c
onnected ECUs. This 20-minute Tech Talk reviews automotive connectivity\,
provides background information on Ethernet AVB\, and presents how a virtu
al hardware ECU test bench enables earlier and faster system testing\, res
ulting in higher quality system and software. It also provides the opportu
nity for the audience to ask questions. Register
X-ALT-DESC;FMTTYPE=text/html:Available On: Wedne
sday\, October 12\, 2016
Electrical and electronic architecture e
volution is having a direct impact on automotive networks. When it comes t
o in-vehicle networks\, Ethernet is the fastest growing network. With the
increased software context and connectivity via Ethernet\, the required te
sting effort is continuously growing. As a result\, development teams need
to accelerate the development and test of Ethernet connected ECUs. <
br />This 20-minute Tech Talk reviews automotive connectivity\, provides b
ackground information on Ethernet AVB\, and presents how a virtual hardwar
e ECU test bench enables earlier and faster system testing\, resulting in
higher quality system and software. It also provides the opportunity for t
he audience to ask questions.  \;  \; |
 \;
CATEGORIES:Education
UID:20161011T1837590Z-511394-1056@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161013T140000
DTEND;TZID=America/Sao_Paulo:20161013T150000
SUMMARY:Customer Case Studies: Fast and Predictable Implementation of Funct
ional ECOs
DESCRIPTION:Functional ECOs bring uncertainty to the design schedule. This
webinar highlights four different customer case studies on how to quickly
and predictably implement functional ECOs using Formality Ultra. Registe
r
X-ALT-DESC;FMTTYPE=text/html:Functional EC
Os bring uncertainty to the design schedule. This webinar highlights four
different customer case studies on how to quickly and predictably implemen
t functional ECOs using Formality Ultra.  \;
CATEGORIES:Education
UID:20161011T1839290Z-511394-1057@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161020T150000
DTEND;TZID=America/Sao_Paulo:20161020T160000
SUMMARY:Industrial Internet of Things Webcast Series 2016\, Part Three: Sec
urity for IIoT
DESCRIPTION:Thursday\, October 20\, 2016 at 11 a.m. PT/1 p.m. CT/2 p.m. ET
One (1) Certified Professional Development Hour (PDH) available for all at
tendees. While the plant engineering sector is an important consumer of in
dustrial cybersecurity hardware\, software and services\, capital expendit
ure has been curtailed in the oil and gas sector by the decline in oil pri
ces and the related plunge in oil company revenues. In spite of this situa
tion\, regulation and the threat of further legislation have served to sup
port the case for investment. In a strategically critical sector\, the oil
and gas infrastructure should be one of the most secure\; however\, many
of its systems are poorly protected against cyber threats. Meanwhile\, the
number and sophistication of industrial cybersecurity attacks is increasi
ng and raising the likelihood of serious intrusion and loss. Learning Obje
ctives: Understand the basic issues around cybersecurity threats in the oi
l and gas sector and other process industries. Understand why investment i
n equipment and strategy is important even in challenging economic times.
Learn about the technologies available to secure both legacy systems and n
ew installations. Learn about the challenges and benefits of adopting a ro
bust cybersecurity strategy for process manufacturing. Presenters: Alex We
st\, Principal Analyst\, Manufacturing Technology Group\, IHS & Brian Arbu
ckle\, Senior Market Analyst\, IHS Event Sponsors: Bosch Rexroth\, Moxa\,
Rittal REGISTER
X-ALT-DESC;FMTTYPE=text/html: Thursday\, October
20\, 2016 at 11 a.m. PT/1 p.m. CT/2 p.m. ET One (1) Certified Profes
sional Development Hour (PDH) available for all attendees. <
p class='BodyCopy2'>While the plant engineering sector is an important con
sumer of industrial cybersecurity hardware\, software and services\, capit
al expenditure has been curtailed in the oil and gas sector by the decline
in oil prices and the related plunge in oil company revenues. In spite of
this situation\, regulation and the threat of further legislation have se
rved to support the case for investment. In a strategically critical secto
r\, the oil and gas infrastructure should be one of the most secure\; howe
ver\, many of its systems are poorly protected against cyber threats. Mean
while\, the number and sophistication of industrial cybersecurity attacks
is increasing and raising the likelihood of serious intrusion and loss. Learning Objectives: <
li class='BodyCopy2'>Understand the basic issues around cybersecurity thre
ats in the oil and gas sector and other process industries. - Understand why investment in equipment and strategy is impor
tant even in challenging economic times.
- Learn
about the technologies available to secure both legacy systems and new in
stallations.
- Learn about the challenges and be
nefits of adopting a robust cybersecurity strategy for process manufacturi
ng.
Presenters: Alex We
st\, Principal Analyst\, Manufacturing Technology Group\, IHS &\; Brian
Arbuckle\, Senior Market Analyst\, IHS
Event Sponsors: Bosch Rexroth\, Moxa\, Rittal  \; REGISTER
CATEGORIES:Education
UID:20161011T1842370Z-511394-1058@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161031T153000
DTEND;TZID=America/Sao_Paulo:20161031T163000
SUMMARY:From Birth To Burial: Product Lifecycle Management (PLM) Challenges
and Solutions
DESCRIPTION: SAVE THE DATE: Free Engineering LIVE: October 31\, 2016 • 12:
30 p.m. ET Product Lifecycle Management (PLM) is the process of managing t
he lifecycle of a product from birth\, through the design and manufacture
stages\, to service and eventual disposal of the products. Join us for an
in-depth discussion on the best options for businesses to successfully man
age communications and information with their customers\, suppliers and su
pply chain\, resources\, as well as product planning and development\, all
while efficiently handling descriptions and properties of each product th
rough its development and full lifecycle. SIGN UP TODAY!
X-ALT-DESC;FMTTYPE=text/html: <
tr>  \; | | SAVE THE DATE: Free Engineering LIVE: October 3
1\, 2016 &bull\; 12:30 p.m. ET | Product Lifecycle Management (PLM) is the proc
ess of managing the lifecycle of a product from birth\, through the design
and manufacture stages\, to service and eventual disposal of the products
. Join us for an in-depth discussion on the best options for
businesses to successfully manage communications and information with the
ir customers\, suppliers and supply chain\, resources\, as well as product
planning and development\, all while efficiently handling descriptions an
d properties of each product through its development and full lifecycle.
|  \;
CATEGORIES:Education
UID:20161011T1844490Z-511394-1059@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161025T140000
DTEND;TZID=America/Sao_Paulo:20161025T150000
SUMMARY:What Exactly is 3D Printing\, Where it Makes Sense\, and Where it D
oesn't - Class 1
DESCRIPTION:Coverage of how additive manufacturing processes work and what
that means in terms of where the technology should or should not be applie
d. This will also overview how the technology has been used over the past
30 years\, how it came into the limelight recently and why some may think
the industry is waning. The goal of this segment is to create a realistic
depiction of the state of the industry.? Day 1 class sponsored by Stratasy
s. Register Now »
X-ALT-DESC;FMTTYPE=text/html: Coverage of how additive manufacturing processes work a
nd what that means in terms of where the technology should or should not b
e applied. This will also overview how the technology has been used over t
he past 30 years\, how it came into the limelight recently and why some ma
y think the industry is waning. The goal of this segment is to create a re
alistic depiction of the state of the industry.? Day 1 class sponsored by
Stratasys.  \;  \;
CATEGORIES:Education
UID:20161011T1852400Z-511394-1060@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161026T130000
DTEND;TZID=America/Sao_Paulo:20161026T140000
SUMMARY:3D Printing - Application Beyond Prototyping - Class 2
DESCRIPTION:Advanced processes and practices that show the value of 3d prin
ting as a process and production aide. We will discuss the more cutting ed
ge applications\, who is advancing these methods and why? Also we will exp
lore the less complex but most impactful applications being used in indust
ry. This will help you understand what is possible when you start to reall
y dive into how the technologies can be used. Register Now
X-ALT-DESC;FMTTYPE=text/html: Advanced
processes and practices that show the value of 3d printing as a process an
d production aide. We will discuss the more cutting edge applications\, wh
o is advancing these methods and why? Also we will explore the less comple
x but most impactful applications being used in industry. This will help y
ou understand what is possible when you start to really dive into how the
technologies can be used.  \;
CATEGORIES:Education
UID:20161011T1854500Z-511394-1061@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161027T130000
DTEND;TZID=America/Sao_Paulo:20161027T140000
SUMMARY:The Future of 3D Printing - Class 3
DESCRIPTION:What's coming down the road\, what to expect and what is just a
myth?. This will cover where things will go including 4d printing\, condu
ctive materials\, bionic applications\, accessible metal printing\, true m
ass customization and if there will ever be a 3d printer in every home. Yo
u'll walk away with some ideas on what to look out for in technological ad
vancements and how you can put yourself in a position to take advantage of
these coming advancements. Day 3 sponsored by Stratasys Register Now »
X-ALT-DESC;FMTTYPE=text/html: What's coming down the r
oad\, what to expect and what is just a myth?. This will cover where thing
s will go including 4d printing\, conductive materials\, bionic applicatio
ns\, accessible metal printing\, true mass customization and if there will
ever be a 3d printer in every home. You'll walk away with some ideas on w
hat to look out for in technological advancements and how you can put your
self in a position to take advantage of these coming advancements. Day 3 s
ponsored by Stratasys  \;
CATEGORIES:Education
UID:20161011T1857140Z-511394-1062@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161027T140000
DTEND;TZID=America/Sao_Paulo:20161027T150000
SUMMARY:Designing to Win in 100G Ethernet – Tools and Methodologies for Suc
cess
DESCRIPTION:Why this webcast is important: 100Gbit Ethernet can be a signif
icant design hurdle with 4 lanes running at 25Gbit/s across electrical int
erconnects and backplanes. Moving from 10G and 40G to 100G represents a st
ep-change in design barriers\, especially for signal integrity. How does t
he design engineer know that an optimization made in channel simulation\,
will translate into an optimal design on the bench? By adhering to a measu
rement-to-simulation validation methodology\, and building confidence in b
oth sim models and test results. In this webcast\, Xilinx application spec
ialist Brandon Jiao\, will discuss the approach for designing links from U
ltrascale FPGAs with 32Gbps capable transceivers\, routed from daughter-ca
rds\, through connectors and a backplane. Brandon will explore the tools a
nd practical methods needed to insure the performance of the link design\,
check for compliance to 802.3bj specs\, and discuss how Xilinx supports t
heir customers for design success. At the end of the webcast\, you will un
derstand the important aspects of 100G link design\, what design workspace
s are available to help you leap into 100G designs\, and where to find fur
ther design support. Who should view this webcast: Signal Integrity Engine
ers Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: <
p style='text-align: justify\;'>Why this webcast is important: 100Gbit Ethernet can be a significant design hurdle with 4 lanes run
ning at 25Gbit/s across electrical interconnects and backplanes. Moving fr
om 10G and 40G to 100G represents a step-change in design barriers\, espec
ially for signal integrity. How does the design eng
ineer know that an optimization made in channel simulation\, will translat
e into an optimal design on the bench? By adhering to a measurement-to-sim
ulation validation methodology\, and building confidence in both sim model
s and test results. In this webcast\, Xilinx applic
ation specialist Brandon Jiao\, will discuss the approach for designing li
nks from Ultrascale FPGAs with 32Gbps capable transceivers\, routed from d
aughter-cards\, through connectors and a backplane. Brandon will explore t
he tools and practical methods needed to insure the performance of the lin
k design\, check for compliance to 802.3bj specs\, and discuss how Xilinx
supports their customers for design success. At the end of the webcast\, y
ou will understand the important aspects of 100G link design\, what design
workspaces are available to help you leap into 100G designs\, and where t
o find further design support. Who should v
iew this webcast: Signal Integrity Engineers Register now for this
live webcast
CATEGORIES:Education
UID:20161011T1859010Z-511394-1063@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161103T160000
DTEND;TZID=America/Sao_Paulo:20161103T170000
SUMMARY:How to Take Control of Sales by Competing Outside the Box
DESCRIPTION: When contractors compete in the battle for customer dollars\,
it helps to have some edge that makes them stand out. That means offering
more than standard replacement units. Joe Leonard\, Sr. Manager of Market
Development for Allied Air Enterprises\, explains why it's important for
contractors to listen to customer needs and use their expertise to solve t
heir problems. Our expert speaker also discusses how contractors can lever
age the performance advantages of advanced HVAC equipment to win over cust
omers and boost sales. Register Now
X-ALT-DESC;FMTTYPE=text/html: \;
Wh
en contractors compete in the battle for customer dollars\, it helps to ha
ve some edge that makes them stand out. That means offering more than stan
dard replacement units. Joe Leonard\, Sr. Manager of Market Development fo
r Allied Air Enterprises\, explains why it's important for contractors to
listen to customer needs and use their expertise to solve their problems.
Our expert speaker also discusses how contractors can leverage
the performance advantages of advanced HVAC equipment to win over customer
s and boost sales.  \;
p> | <
td style='border-radius: 5px\;' align='center' bgcolor='#1371aa'>Register Now |
|  \;
CATEGORIES:Education
UID:20161011T1901510Z-511394-1064@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161012T130000
DTEND;TZID=America/Sao_Paulo:20161012T140000
SUMMARY:FPGAs: A Game Changer for Military Communications
DESCRIPTION: FPGAs have been a force multiplier for military signal proc
essing applications that require modularity and flexibility. They excel in
implementing the needed functions in hardware to create massively paralle
l signal processing units. For military communications applications they e
nable software defined radio (SDR) and satellite communication system desi
gners to develop open-architecture\, configurable board-level FPGA solutio
ns for use in current and new systems. This e-cast of industry experts wil
l cover the implementation of FPGAs in military communication systems -- f
rom challenges to benefits. Sponsors: Annapolis Micro Systems\, Pentek Mod
erator: John McHale\, OpenSystems Media Register Now »
X-ALT-DESC;FMTTYPE=text/html: \;  \; | FPGAs have been
a force multiplier for military signal processing applications that requir
e modularity and flexibility. They excel in implementing the needed functi
ons in hardware to create massively parallel signal processing units. For
military communications applications they enable software defined radio (S
DR) and satellite communication system designers to develop open-architect
ure\, configurable board-level FPGA solutions for use in current and new s
ystems. This e-cast of industry experts will cover the implementation of F
PGAs in military communication systems -- from challenges to benefits. Sponsors: Annapolis Micro Systems\, Pen
tek Moderator: John McHale\, OpenSyst
ems Media  \; |  \;
CATEGORIES:Education
UID:20161011T1913220Z-511394-1065@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161013T170000
DTEND;TZID=America/Sao_Paulo:20161013T180000
SUMMARY:Putting Machine Data to Work with IoT Analytics
DESCRIPTION:Offering world-class customer support and service in the IoT er
a requires a comprehensive and scalable analytics platform that is well-in
tegrated with existing support workflows. With ThingWorx Analytics\, compa
nies can deliver enhanced customer support\, resulting in reduction in mea
n-time to repair (MTTR)\, offer enhanced service including proactive suppo
rt and predictive maintenance\, and offer value-add services that directly
benefit customers. Join PTC and Glassbeam\, as they discuss how ThingWorx
Analytics can provide enhanced customer support for your business. This w
ebinar features a customer success story from Springpath highlighting how
machine data analytics is being used to optimize support operations in the
Hyper Converged Infrastructure (HCI) industry. During this webcast\, you
will learn: How to create long-term business value by incorporating ThingW
orx Analytics into your IoT program How analyzing data captured through ma
chine logs can optimize the way you deliver customer service to your custo
mers How product managers can use data from a variety of sources to gain a
ctionable insights Speakers: Brett Flinchum\, Vice President of Customer S
uccess at Springpath Puneet Pandit\, CEO and President at Glassbeam Ryan C
aplan\, General Manager\, ThingWorx Analytics at PTC Moderator: Brandon Le
wis\, OpenSystems Media Register Now »
X-ALT-DESC;FMTTYPE=text/html: Offering wo
rld-class customer support and service in the IoT era requires a comprehen
sive and scalable analytics platform that is well-integrated with existing
support workflows. With ThingWorx Analytics\, companies can deliver enhan
ced customer support\, resulting in reduction in mean-time to repair (MTTR
)\, offer enhanced service including proactive support and predictive main
tenance\, and offer value-add services that directly benefit customers. Join PTC and Glassbeam\, as they discuss how ThingWorx Analytic
s can provide enhanced customer support for your business. This webinar fe
atures a customer success story from Springpath highlighting how machine d
ata analytics is being used to optimize support operations in the Hyper Co
nverged Infrastructure (HCI) industry. During this webcast\,
you will learn: - How to create long-term business value by i
ncorporating ThingWorx Analytics into your IoT program
- How analy
zing data captured through machine logs can optimize the way you deliver c
ustomer service to your customers
- How product managers can use d
ata from a variety of sources to gain actionable insights
Speakers: Bre
tt Flinchum\, Vice President of Customer Success at Springpath Punee
t Pandit\, CEO and President at Glassbeam Ryan Caplan\, General Mana
ger\, ThingWorx Analytics at PTC Moderator:
Brandon Lewis\, OpenSystems Media
CATEGORIES:Education
UID:20161011T1915570Z-511394-1066@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161019T170000
DTEND;TZID=America/Sao_Paulo:20161019T180000
SUMMARY:OTA Updates to the Automotive and Embedded Computing Markets
DESCRIPTION: The concept of over-the-air software (OTA) updates is relativ
ely new to the Automotive and Embedded computing markets. As a consequence
\, there are concerns about the security\, scalability\, and reliability o
f OTA systems. BlackBerry was the first mobile company to offer OTA softwa
re updates to millions of secure devices over 10 years ago. In this webina
r our OTA team will explore considerations for deploying OTA to complex de
vices like automobiles and more general purpose embedded devices by lookin
g at the inherent requirements of each use case. Attend this webinar to le
arn about: Over the Air (OTA) Deploying OTA to complex devices like Automo
biles Speakers: Conrad Seaman\, Senior Business Operations Manager - IoT\,
Blackberry Chris Travers\, Senior Manager - IoT\, Blackberry Moderator: B
randon Lewis\, OpenSystems Media Register Now
X-ALT-DESC;FMTTYPE=text/html: \; <
br />The concept of over-the-air software (OTA) updates is relatively new
to the Automotive and Embedded computing markets. As a consequence\, there
are concerns about the security\, scalability\, and reliability of OTA sy
stems. BlackBerry was the first mobile company to offer OTA software updat
es to millions of secure devices over 10 years ago. In this webinar our OT
A team will explore considerations for deploying OTA to complex devices li
ke automobiles and more general purpose embedded devices by looking at the
inherent requirements of each use case. Attend this webinar
to learn about: - Over the Air (OTA)
- Deploying OTA
to complex devices like Automobiles
Speakers: Conrad Seaman\, Senior Bu
siness Operations Manager - IoT\, Blackberry Chris Travers\, Senior
Manager - IoT\, Blackberry Moderator: Brandon Lewis\, OpenSystems Media
CATEGORIES:Education
UID:20161011T1918380Z-511394-1067@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161026T170000
DTEND;TZID=America/Sao_Paulo:20161026T180000
SUMMARY:Bringing Intelligence to IoT's Edge
DESCRIPTION:Analytics is one of the most compelling components of an Intern
et of Things strategy. Where you perform the analytics activities are also
vitally important. You might be dealing with terabytes or even petabytes
of data streaming from hundreds of sophisticated machines in your IoT ecos
ystem. Pushing all of that data to a centralized analytics process in a da
ta center or the cloud might be impractical or even impossible. Join Thing
Worx and ABI Research to explore how machine learning and predictive analy
tics can be performed close to the data - bringing intelligence to IoT's E
dge - maximizing opportunity and reducing risk. Speakers: Dan Shey\, Manag
ing Director and Vice President\, ABI Research Chris MacDonald\, Sales Bus
iness Development Executive\, PTC Moderator: Brandon Lewis\, OpenSystems M
edia Register Now »
X-ALT-DESC;FMTTYPE=text/html: Analytics i
s one of the most compelling components of an Internet of Things strategy.
Where you perform the analytics activities are also vitally important. Yo
u might be dealing with terabytes or even petabytes of data streaming from
hundreds of sophisticated machines in your IoT ecosystem. Pushing all of
that data to a centralized analytics process in a data center or the cloud
might be impractical or even impossible. Join ThingWorx and
ABI Research to explore how machine learning and predictive analytics can
be performed close to the data - bringing intelligence to IoT's Edge - max
imizing opportunity and reducing risk. Speaker
s: Dan Shey\, Managing Director and Vice President\, ABI R
esearch Chris MacDonald\, Sales Business Development Executive\, PTC
Moderator: Brandon Lewis\, OpenSyste
ms Media
CATEGORIES:Education
UID:20161011T1920540Z-511394-1068@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161027T170000
DTEND;TZID=America/Sao_Paulo:20161027T180000
SUMMARY:Device Management - The Key to Unlocking IoT Success
DESCRIPTION:The business case for IoT is often driven by the value of data
being generated by hundreds or thousands of field devices. An extension of
business operations into a customer\, often located far away\, the health
of these devices directly impacts the entire system. As device manufactur
ers and IoT system developers evaluate IoT Platforms\, a well-defined devi
ce management strategy is a vital aspect of overall program success. Joi
n Wind River for this web seminar on the considerations for defining a suc
cessful device management strategy and steps for managing edge devices rem
otely\, reliably and cost-effectively. Learn: • How Device Lifecycle Manag
ement addresses common IoT challenges\, including implementation on non-st
andard devices\, ongoing maintenance of multiple software versions\, and r
emotely detecting and diagnosing problems • The pitfalls associated with o
verlooking device management\, potentially disrupting the business process
es IoT is intended to support • How the performance of the system as a who
le hinges on the health of field devices to avert system disruption and do
wntime Register Now »
X-ALT-DESC;FMTTYPE=text/html:The business case for IoT is often driven b
y the value of data being generated by hundreds or thousands of field devi
ces. An extension of business operations into a customer\, often located f
ar away\, the health of these devices directly impacts the entire system.
As device manufacturers and IoT system developers evaluate IoT Platforms\,
a well-defined device management strategy is a vital aspect of overall pr
ogram success.  \; Join Wind River for this web seminar on
the considerations for defining a successful device management strategy an
d steps for managing edge devices remotely\, reliably and cost-effectively
.
Learn: &bull\; How Device Lifecycle Management addresses
common IoT challenges\, including implementation on non-standard devices\
, ongoing maintenance of multiple software versions\, and remotely detecti
ng and diagnosing problems &bull\; The pitfalls associated with overl
ooking device management\, potentially disrupting the business processes I
oT is intended to support &bull\; How the performance of the system a
s a whole hinges on the health of field devices to avert system disruption
and downtime
CATEGORIES:Education
UID:20161011T1923190Z-511394-1069@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161109T170000
DTEND;TZID=America/Sao_Paulo:20161109T180000
SUMMARY:Scaling IoT Applications Panel Discussion Part II - Industry Exampl
es and Architecture
DESCRIPTION:Understanding how to scale IoT applications from prototype to p
roduction is a critical component to IoT deployment success. Part I of our
IoT scaling panel discussed scaling by sensors\, performance\, users\, an
d geography. In part II of our IoT Panel Discussion our industry experts w
ill pick an IoT industry or application\, describe the scaling considerati
ons\, and illustrate tools\, architectures\, and key components used to mo
ve the application from prototype to successful deployment. Join us as our
industry expert panelists cover a range of scaling topics from scaling di
mensions and associated challenges such as field upgrades\, deployment man
agement\, and security issues as IoT deployments scale. Sponsors: Ayla Net
works\, Intel\, McObject\, Red Hat\, RTI Moderator: Curt Schwaderer\, Open
Systems Media Register Now »
X-ALT-DESC;FMTTYPE=text/html:
Understanding how to scale IoT applications from prototype to production i
s a critical component to IoT deployment success. Part I of our IoT scalin
g panel discussed scaling by sensors\, performance\, users\, and geography
. In part II of our IoT Panel Discussion our industry experts will pick an
IoT industry or application\, describe the scaling considerations\, and i
llustrate tools\, architectures\, and key components used to move the appl
ication from prototype to successful deployment. Join us as o
ur industry expert panelists cover a range of scaling topics from scaling
dimensions and associated challenges such as field upgrades\, deployment m
anagement\, and security issues as IoT deployments scale. Sponsors: Ayla Networks\, Intel\, McObject\, Red Hat
\, RTI Moderator: Curt Schwaderer\, O
penSystems Media
CATEGORIES:Education
UID:20161011T1925230Z-511394-1070@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161110T170000
DTEND;TZID=America/Sao_Paulo:20161110T180000
SUMMARY:The Pitfalls and Perils of Poor Security
DESCRIPTION:Avnet Hardware Hacking Webinar Series with Joe Grand In this ag
e of Internet-connected devices\, widespread deployment of embedded system
s\, and high profile attacks against all things electronic\, it's time we
get serious about security. Through this three-part webinar series\, Joe G
rand takes you on a journey of hardware hacking\, including the tools\, pr
ocess\, examples\, and resources to help you acknowledge the threats and w
ork towards designing more secure products. Hardware hacking and attacks a
gainst embedded systems are no longer limited to hobbyists who simply want
to have fun making products do things they were never intended to do. Nat
ion states\, criminal organizations\, and others looking to maliciously su
bvert\, monitor\, manipulate\, or intentionally damage are now involved. T
hroughout the electronics lifecycle\, including semiconductor fabrication\
, hardware and firmware design\, and product manufacturing\, there lie wea
knesses that can be exploited. In this session of the three-part webinar s
eries\, Joe chronicles some of his favorite hacks and attacks\, and highli
ghts why all of us in the electronics industry need to take responsibility
for and action towards security. Speaker: Joe Grand\, Product Designer\,
Hardware Hacker\, and the Proprietor of Grand Idea Studio Moderator: Brand
on Lewis\, OpenSystems Media Register Now »
X-ALT-DESC;FMTTYPE=text/html:  \;
CATEGORIES:Education
UID:20161011T1927020Z-511394-1071@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161018T150000
DTEND;TZID=America/Sao_Paulo:20161018T160000
SUMMARY:How to Navigate the Open Source Legal Maze: Linux Encryption and Ex
port Compliance Regulations
DESCRIPTION:The percentage of open source software (OSS) in commercial soft
ware solutions is growing rapidly\, but the legal maze for releasing and e
xporting these products is still as intricate as it was 25 years ago. Alth
ough the export regulation and licensing area is given less attention\, it
continues to grow in importance. Different governments have different reg
ulations for software\; what's universal is that export regulations center
on the use of encryption software. Yet it is often difficult to answer qu
estions about the existence or use of encryption software for OSS that a d
eveloper uses (but did not write). Attendees will learn: Best practices to
identify encryption in software Effective license compliance processes an
d Open Chain Specifications How to avoid license compliance mistakes Open
source tools that can assist with creating IP and export compliance report
s Developing and maintaining an export management and compliance program R
EGISTER
X-ALT-DESC;FMTTYPE=text/html:The percentage of open source software (OSS
) in commercial software solutions is growing rapidly\, but the legal maze
for releasing and exporting these products is still as intricate as it wa
s 25 years ago.
Although the export regulation and licensing a
rea is given less attention\, it continues to grow in importance. Differen
t governments have different regulations for software\; what's universal i
s that export regulations center on the use of encryption software. Yet it
is often difficult to answer questions about the existence or use of encr
yption software for OSS that a developer uses (but did not write). Attendees will learn: - Best practices to identify encryption in software
- Effective license compliance processes and Open
Chain Specifications
- How to avoid l
icense compliance mistakes
- Open sour
ce tools that can assist with creating IP and export compliance reports
- Developing and maintaining an export m
anagement and compliance program
REGISTER
CATEGORIES:Education
UID:20161011T1930540Z-511394-1072@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161101T150000
DTEND;TZID=America/Sao_Paulo:20161101T160000
SUMMARY:Techniques to Make Better Power Integrity Measurements with Your Os
cilloscope
DESCRIPTION:An oscilloscope is often used for Power Integrity Measurements\
, but as DC rails move to lower voltages with smaller tolerances making th
ese measurements are getting tougher. The noise of the scope and probing s
ystem interferes with the ability to make accurate Vpp measurements. Limit
ed offset in the scope makes it difficult to zoom in and fully use the dyn
amic range of a scope's ADC\, thus further reducing the measurement accura
cy. Attend this webinar to understand how to make better power integrity m
easurements using your existing oscilloscope\, including various oscillosc
ope setting and probing techniques. See how FFT can be used to find and tr
igger on signals coupled onto the power rail. Learn what attributes to loo
k for if considering a new oscilloscope for power integrity measurements.
Attendees will learn: How to make better power integrity measurements Osci
lloscope setting and probing techniques Finding and trigger on signals cou
pled on the power rail using FFT Attributes to look for in an oscilloscope
REGISTER
X-ALT-DESC;FMTTYPE=text/html:An oscilloscope is often used for Power Int
egrity Measurements\, but as DC rails move to lower voltages with smaller
tolerances making these measurements are getting tougher. The noise of the
scope and probing system interferes with the ability to make accurate Vpp
measurements. Limited offset in the scope makes it difficult to zoom in a
nd fully use the dynamic range of a scope's ADC\, thus further reducing th
e measurement accuracy. Attend this webinar to understand how to make bett
er power integrity measurements using your existing oscilloscope\, includi
ng various oscilloscope setting and probing techniques. See how FFT can be
used to find and trigger on signals coupled onto the power rail. Learn wh
at attributes to look for if considering a new oscilloscope for power inte
grity measurements.
Attendees will learn:
- How to make better power integrity
measurements
- Oscilloscope setting a
nd probing techniques
- Finding and tr
igger on signals coupled on the power rail using FFT
- Attributes to look for in an oscilloscope
REGISTER
CATEGORIES:Education
UID:20161011T1932350Z-511394-1073@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161027T160000
DTEND;TZID=America/Sao_Paulo:20161027T170000
SUMMARY:Revving the Engine of IoT: The Evolution of Underlying Technologies
DESCRIPTION:The Internet of Things (IoT) is on a trajectory for rapid expan
sion and deployment. Applications and uses of IoT are now well established
in multiple market segments\, from the automobile to the factory [MF1]\,
into the office and home\, and beyond. In parallel\, the underlying techno
logies required to build and deploy the systems that deliver on the IoT bu
siness vision are rapidly evolving. New capabilities at the silicon level
for greater intelligence\, determinism\, built-in security\, and imaging p
rocessing power are becoming common across vertical IoT applications. The
IoT engine has evolved [MF2] into a combination of highly advanced process
ing technologies\, finely tuned with critical software elements and integr
ated into market-specific form factors. Join Intel and members of its IoT
ecosystem for a robust discussion on the latest technical advances and how
they are being used to build innovative IoT applications in multiple mark
ets. Joining the discussion will be: ADLINK - Shawn Jack Advantech - Dirk
Finstel Congatech - Christian Eder Kontron - Harvey R. Berman Supermicro -
Kanti Bhabuthmal REGISTER
X-ALT-DESC;FMTTYPE=text/html:The Internet of Things (IoT) is on a trajec
tory for rapid expansion and deployment. Applications and uses of IoT are
now well established in multiple market segments\, from the automobile to
the factory [MF1]\, into the office and home\, and beyond. In parallel\, t
he underlying technologies required to build and deploy the systems that d
eliver on the IoT business vision are rapidly evolving.
New ca
pabilities at the silicon level for greater intelligence\, determinism\, b
uilt-in security\, and imaging processing power are becoming common across
vertical IoT applications. The IoT engine has evolved [MF2] into a combin
ation of highly advanced processing technologies\, finely tuned with criti
cal software elements and integrated into market-specific form factors.
Join Intel and members of its IoT ecosystem for a robust discuss
ion on the latest technical advances and how they are being used to build
innovative IoT applications in multiple markets. Joining the discussion wi
ll be: - ADLINK - Shawn Jack
- Advantech - Dirk Finstel
- Congatech - Christian Eder
- Kontron - Harvey R. Berman
- Supermicro - Kanti Bhabuthmal
REGISTER
CATEGORIES:Education
UID:20161011T1934410Z-511394-1074@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161026T150000
DTEND;TZID=America/Sao_Paulo:20161026T160000
SUMMARY:Manage Your Trailer Fleet with 'Air Traffic Control' Ability: Track
Trailers with Real-time Precision
DESCRIPTION:Not missing dispatch opportunities and conducting Preventative
Maintenance (PM's) using mileage data will enable fleets to improve their
productivity while freeing up capacity to grow revenue. The problem of hav
ing air traffic control capability on your fleet has not been easy\, until
now! Transportation enterprises are always seeking ways to improve their
operating costs while balancing customer requirements. City P&D dispatch a
nd maintenance requirements are two areas of an operation where improved e
fficiency means enhanced margins. If a solution existed that could provide
visibility to dispatch and maintenance teams to execute their daily tasks
with higher precision\, then trucking companies could gain back revenue a
nd profit that may be eroded by other external factors. GPS alone is not g
ood enough in 2016 and certainly intermittent communication via 2 to 5 upd
ates a day should not be acceptable. Event driven communication in real-ti
me that can be transmitted instantly to the right departments is what shou
ld be expected of systems today. Imagine a dispatcher being able to make a
pick up knowing that a trailer was ready to be moved and they didn't have
to send the driver back to the yard. What if a maintenance manager could
know the mileage of each trailer and only do the required maintenance for
that PM? Having real-time data and communication will separate best-in-cla
ss carriers from the rest. This webinar will illustrate how innovative\, c
onnected technologies are being applied to enable the smart-connected trai
ler that will deliver a better customer experience\, decrease frustration
from dispatchers and drivers and improve the bottom line. Attendees will l
earn: Maintenance best practices to ensure your fleet is productive and ut
ilized Monitor and control your fleet with precision to make better decisi
ons Cost savings to your organization by averting unnecessary maintenance
and dispatches REGISTER
X-ALT-DESC;FMTTYPE=text/html:Not missing dispatch opportunities and cond
ucting Preventative Maintenance (PM's) using mileage data will enable flee
ts to improve their productivity while freeing up capacity to grow revenue
. The problem of having air traffic control capability on your fleet has n
ot been easy\, until now!
Transportation enterprises are alway
s seeking ways to improve their operating costs while balancing customer r
equirements. City P&\;D dispatch and maintenance requirements are two a
reas of an operation where improved efficiency means enhanced margins. If
a solution existed that could provide visibility to dispatch and maintenan
ce teams to execute their daily tasks with higher precision\, then truckin
g companies could gain back revenue and profit that may be eroded by other
external factors.
GPS alone is not good enough in 2016 and ce
rtainly intermittent communication via 2 to 5 updates a day should not be
acceptable. Event driven communication in real-time that can be transmitte
d instantly to the right departments is what should be expected of systems
today. Imagine a dispatcher being able to make a pick up knowing that a t
railer was ready to be moved and they didn't have to send the driver back
to the yard. What if a maintenance manager could know the mileage of each
trailer and only do the required maintenance for that PM? Having real-time
data and communication will separate best-in-class carriers from the rest
.
This webinar will illustrate how innovative\, connected tech
nologies are being applied to enable the smart-connected trailer that will
deliver a better customer experience\, decrease frustration from dispatch
ers and drivers and improve the bottom line.
Attendees
will learn: - Maintenan
ce best practices to ensure your fleet is productive and utilized
Monitor and control your fleet with precisio
n to make better decisions - Cost savi
ngs to your organization by averting unnecessary maintenance and dispatches
<
/ul> REGISTER
CATEGORIES:Education
UID:20161011T1936560Z-511394-1075@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161025T170000
DTEND;TZID=America/Sao_Paulo:20161025T180000
SUMMARY:Next Generation Connected Car Infotainment
DESCRIPTION:The connected car has become a primary differentiator for consu
mers' in-vehicle experiences. Consumers expect intelligent\, connected car
s that link critical infotainment functionality to a framework that gives
them access to multimedia\, portable devices\, the cloud\, and driver-defi
ned applications. Wind River® has been working closely with industry leade
rs to deliver innovative solutions that offer connectivity\, flexibility\,
and reliability. Wind River Helix™ Cockpit is a Linux-based\, GENIVI® and
Automotive Grade Linux (AGL) aligned runtime platform specifically tuned
for the in-vehicle infotainment (IVI) and digital cluster market. Based on
Wind River Linux\, the platform takes full advantage of the open source c
ommunity and adds Wind River IP as well as third-party software components
to deliver a wide infotainment feature and tool portfolio. In this webina
r\, you will learn how using this Wind River commercial-grade platform to
build IVI products reduces overall development costs\, minimizes project r
isks\, and shortens project timelines. REGISTER
X-ALT-DESC;FMTTYPE=text/html:The connected
car has become a primary differentiator for consumers' in-vehicle experie
nces. Consumers expect intelligent\, connected cars that link critical inf
otainment functionality to a framework that gives them access to multimedi
a\, portable devices\, the cloud\, and driver-defined applications. Wind R
iver®\; has been working closely with industry leaders to deliver innov
ative solutions that offer connectivity\, flexibility\, and reliability. Wind River Helix&trade\; Cockpit is a Linux-based\, GENIVI®\
; and Automotive Grade Linux (AGL) aligned runtime platform specifically t
uned for the in-vehicle infotainment (IVI) and digital cluster market. Bas
ed on Wind River Linux\, the platform takes full advantage of the open sou
rce community and adds Wind River IP as well as third-party software compo
nents to deliver a wide infotainment feature and tool portfolio.
In this webinar\, you will learn how using this Wind River commercial-g
rade platform to build IVI products reduces overall development costs\, mi
nimizes project risks\, and shortens project timelines. REGISTER
CATEGORIES:Education
UID:20161011T1938370Z-511394-1076@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161025T140000
DTEND;TZID=America/Sao_Paulo:20161025T150000
SUMMARY:Balancing Advanced SoC Security Requirements with Constrained Area
and Power Budgets
DESCRIPTION:As if delivering optimal power\, performance and area weren’t c
hallenging enough\, today’s designers must now also build security into th
eir SoCs. Protecting against system attacks requires robust countermeasure
s\, which need to be triggered at the processor IP level. This session wil
l focus on methods to defend against logical and physical attacks that min
imize impact to area and power. Special attention will be given to develop
ing a trusted execution environment\, countermeasures for side-channel ana
lysis (SCA) attacks\, and cryptography implementation options. Attendees w
ill learn: Basics of developing a trusted execution environment on an ultr
a-low power core to share system resources for secure and normal processin
g Understanding side channel analysis attacks and processor-level defense
mechanisms Cryptographic implementation trade-offs REGISTER
X-ALT-DESC;FMTTYPE=text/html:As if delivering optimal power\, performanc
e and area weren&rsquo\;t challenging enough\, today&rsquo\;s designers mu
st now also build security into their SoCs. Protecting against system atta
cks requires robust countermeasures\, which need to be triggered at the pr
ocessor IP level. This session will focus on methods to defend against log
ical and physical attacks that minimize impact to area and power. Special
attention will be given to developing a trusted execution environment\, co
untermeasures for side-channel analysis (SCA) attacks\, and cryptography i
mplementation options.
Attendees will learn:<
/p> - Basics of developing a trusted
execution environment on an ultra-low power core to share system resources
for secure and normal processing
- Un
derstanding side channel analysis attacks and processor-level defense mech
anisms
- Cryptographic implementation
trade-offs
REGISTER<
/a>
CATEGORIES:Education
UID:20161020T1717010Z-511394-1077@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161024T160000
DTEND;TZID=America/Sao_Paulo:20161024T170000
SUMMARY:MCU-based IoT Designs: Efficient Serial Interfaces - Day 1
DESCRIPTION:Serial interfaces have always been available on MCUs and in the
IoT world serial connectivity is used to access an almost unlimited set o
f key components. Flash memory\, environmental sensors\, security devices\
, IO expanders\, programmable logic\, power control\, battery power gages\
, and just about any other function you can think off is probably availabl
e with a standard serial interface. In this course Warren Miller will prov
ide an overview of the many types of serial peripherals available for IoT
applications\, and quick description of the most common standard serial in
terfaces- such as I2C\, SPI\, UART\, and I2S. Most importantly\, key desig
n techniques to create power efficient and bandwidth efficient implementat
ions of serial interfaces for MCU-based IoT designs. October 24 - Day 1: A
n Introduction to Serial Interfaces Serial interfaces are ubiquitous in MC
U-based IoT Designs. This class will provide an overview and background us
ed for the balance of the week’s course.
X-ALT-DESC;FMTTYPE=text/html:Serial interf
aces have always been available on MCUs and in the IoT world serial connec
tivity is used to access an almost unlimited set of key components. Flash
memory\, environmental sensors\, security devices\, IO expanders\, program
mable logic\, power control\, battery power gages\, and just about any oth
er function you can think off is probably available with a standard serial
interface.
In this course Warren Miller will provide an overvi
ew of the many types of serial peripherals available for IoT applications\
, and quick description of the most common standard serial interfaces- suc
h as I2C\, SPI\, UART\, and I2S. Most importantly\, key design techniques
to create power efficient and bandwidth efficient implementations of seria
l interfaces for MCU-based IoT designs.  \;
CATEGORIES:Education
UID:20161020T1702250Z-511394-1078@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161025T160000
DTEND;TZID=America/Sao_Paulo:20161025T170000
SUMMARY:MCU-based IoT Designs: Efficient Serial Interfaces - Day 2
DESCRIPTION:Serial interfaces have always been available on MCUs and in the
IoT world serial connectivity is used to access an almost unlimited set o
f key components. Flash memory\, environmental sensors\, security devices\
, IO expanders\, programmable logic\, power control\, battery power gages\
, and just about any other function you can think off is probably availabl
e with a standard serial interface. In this course Warren Miller will prov
ide an overview of the many types of serial peripherals available for IoT
applications\, and quick description of the most common standard serial in
terfaces- such as I2C\, SPI\, UART\, and I2S. Most importantly\, key desig
n techniques to create power efficient and bandwidth efficient implementat
ions of serial interfaces for MCU-based IoT designs. October 25 - Day 2: U
ART and USART Interfaces for IoT Applications This class will provide desc
riptions and examples of UART and USART interfaces used in IoT application
s. Hardware resources\, protocol\, performance\, and power concerns will b
e described and discussed. Advantages and disadvantages over other types o
f interfaces will be included.
X-ALT-DESC;FMTTYPE=text/html:Serial interf
aces have always been available on MCUs and in the IoT world serial connec
tivity is used to access an almost unlimited set of key components. Flash
memory\, environmental sensors\, security devices\, IO expanders\, program
mable logic\, power control\, battery power gages\, and just about any oth
er function you can think off is probably available with a standard serial
interface.
In this course Warren Miller will provide an overvi
ew of the many types of serial peripherals available for IoT applications\
, and quick description of the most common standard serial interfaces- suc
h as I2C\, SPI\, UART\, and I2S. Most importantly\, key design techniques
to create power efficient and bandwidth efficient implementations of seria
l interfaces for MCU-based IoT designs. - October 25 - Day 2: UART and USART Interfaces for IoT Appli
cations
This class will provide descriptions and exampl
es of UART and USART interfaces used in IoT applications. Hardware resourc
es\, protocol\, performance\, and power concerns will be described and dis
cussed. Advantages and disadvantages over other types of interfaces will b
e included.  \;<
/p>
CATEGORIES:Education
UID:20161020T1703170Z-511394-1079@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161026T160000
DTEND;TZID=America/Sao_Paulo:20161026T170000
SUMMARY:MCU-based IoT Designs: Efficient Serial Interfaces - Day 3
DESCRIPTION:Serial interfaces have always been available on MCUs and in the
IoT world serial connectivity is used to access an almost unlimited set o
f key components. Flash memory\, environmental sensors\, security devices\
, IO expanders\, programmable logic\, power control\, battery power gages\
, and just about any other function you can think off is probably availabl
e with a standard serial interface. In this course Warren Miller will prov
ide an overview of the many types of serial peripherals available for IoT
applications\, and quick description of the most common standard serial in
terfaces- such as I2C\, SPI\, UART\, and I2S. Most importantly\, key desig
n techniques to create power efficient and bandwidth efficient implementat
ions of serial interfaces for MCU-based IoT designs. October 26 - Day 3: S
PI and QSPI This class will provide descriptions and examples of SPI and Q
SPI interfaces used in IoT applications. Hardware resources\, protocol\, p
erformance\, and power concerns will be described and discussed. Advantage
s and disadvantages over other types of interfaces will be included.
X-ALT-DESC;FMTTYPE=text/html: Serial interf
aces have always been available on MCUs and in the IoT world serial connec
tivity is used to access an almost unlimited set of key components. Flash
memory\, environmental sensors\, security devices\, IO expanders\, program
mable logic\, power control\, battery power gages\, and just about any oth
er function you can think off is probably available with a standard serial
interface.
In this course Warren Miller will provide an overvi
ew of the many types of serial peripherals available for IoT applications\
, and quick description of the most common standard serial interfaces- suc
h as I2C\, SPI\, UART\, and I2S. Most importantly\, key design techniques
to create power efficient and bandwidth efficient implementations of seria
l interfaces for MCU-based IoT designs. - October 26 - Day 3: SPI and QSPI
This cl
ass will provide descriptions and examples of SPI and QSPI interfaces used
in IoT applications. Hardware resources\, protocol\, performance\, and po
wer concerns will be described and discussed. Advantages and disadvantages
over other types of interfaces will be included.  \;
CATEGORIES:Education
UID:20161020T1703590Z-511394-1080@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161027T160000
DTEND;TZID=America/Sao_Paulo:20161027T170000
SUMMARY:MCU-based IoT Designs: Efficient Serial Interfaces - Day 4
DESCRIPTION:Serial interfaces have always been available on MCUs and in the
IoT world serial connectivity is used to access an almost unlimited set o
f key components. Flash memory\, environmental sensors\, security devices\
, IO expanders\, programmable logic\, power control\, battery power gages\
, and just about any other function you can think off is probably availabl
e with a standard serial interface. In this course Warren Miller will prov
ide an overview of the many types of serial peripherals available for IoT
applications\, and quick description of the most common standard serial in
terfaces- such as I2C\, SPI\, UART\, and I2S. Most importantly\, key desig
n techniques to create power efficient and bandwidth efficient implementat
ions of serial interfaces for MCU-based IoT designs. October 27 - Day 4: I
2C and SSI This class will provide descriptions and examples of I2C and I2
S interfaces used in IoT applications. Hardware resources\, protocol\, per
formance\, and power concerns will be described and discussed. Advantages
and disadvantages over other types of interfaces will be included.
X-ALT-DESC;FMTTYPE=text/html:Serial interf
aces have always been available on MCUs and in the IoT world serial connec
tivity is used to access an almost unlimited set of key components. Flash
memory\, environmental sensors\, security devices\, IO expanders\, program
mable logic\, power control\, battery power gages\, and just about any oth
er function you can think off is probably available with a standard serial
interface.
In this course Warren Miller will provide an overvi
ew of the many types of serial peripherals available for IoT applications\
, and quick description of the most common standard serial interfaces- suc
h as I2C\, SPI\, UART\, and I2S. Most importantly\, key design techniques
to create power efficient and bandwidth efficient implementations of seria
l interfaces for MCU-based IoT designs. - October 27 - Day 4: I2C and SSI
This cla
ss will provide descriptions and examples of I2C and I2S interfaces used i
n IoT applications. Hardware resources\, protocol\, performance\, and powe
r concerns will be described and discussed. Advantages and disadvantages o
ver other types of interfaces will be included.  \;
CATEGORIES:Education
UID:20161020T1704450Z-511394-1081@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161028T160000
DTEND;TZID=America/Sao_Paulo:20161028T170000
SUMMARY:MCU-based IoT Designs: Efficient Serial Interfaces - Day 5
DESCRIPTION:Serial interfaces have always been available on MCUs and in the
IoT world serial connectivity is used to access an almost unlimited set o
f key components. Flash memory\, environmental sensors\, security devices\
, IO expanders\, programmable logic\, power control\, battery power gages\
, and just about any other function you can think off is probably availabl
e with a standard serial interface. In this course Warren Miller will prov
ide an overview of the many types of serial peripherals available for IoT
applications\, and quick description of the most common standard serial in
terfaces- such as I2C\, SPI\, UART\, and I2S. Most importantly\, key desig
n techniques to create power efficient and bandwidth efficient implementat
ions of serial interfaces for MCU-based IoT designs. October 28 - Day 5: E
xample Designs This class will focus on some example designs\, suggested b
y students that illustrate key concepts important to MCU-based IoT Designs
. Links to appropriate online resources\, kits\, and documentation will be
included to help jump-start new designs.
X-ALT-DESC;FMTTYPE=text/html:Serial interf
aces have always been available on MCUs and in the IoT world serial connec
tivity is used to access an almost unlimited set of key components. Flash
memory\, environmental sensors\, security devices\, IO expanders\, program
mable logic\, power control\, battery power gages\, and just about any oth
er function you can think off is probably available with a standard serial
interface.
In this course Warren Miller will provide an overvi
ew of the many types of serial peripherals available for IoT applications\
, and quick description of the most common standard serial interfaces- suc
h as I2C\, SPI\, UART\, and I2S. Most importantly\, key design techniques
to create power efficient and bandwidth efficient implementations of seria
l interfaces for MCU-based IoT designs. - October 28 - Day 5: Example Designs
This
class will focus on some example designs\, suggested by students that ill
ustrate key concepts important to MCU-based IoT Designs. Links to appropri
ate online resources\, kits\, and documentation will be included to help j
ump-start new designs.  \;
CATEGORIES:Education
UID:20161020T1706240Z-511394-1082@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161031T160000
DTEND;TZID=America/Sao_Paulo:20161031T170000
SUMMARY:SoC FPGA Devices using the Microsemi SmartFusion2 Device - Day 1
DESCRIPTION: October 31 - Day 1: SoC Devices The System on Chip (SoC) comb
ines a standard microprocessor with a Field Programmable Gate Array (FPGA)
\, as well as various types of I/O. In this session we will look at the cl
ass of devices and then go into the device for this week\, the Microsemi S
martFusion2\, giving a description of the device at the block level
X-ALT-DESC;FMTTYPE=text/html: \; - October 31 - Day 1: SoC Devices
The Syst
em on Chip (SoC) combines a standard microprocessor with a Field Programma
ble Gate Array (FPGA)\, as well as various types of I/O. In this session w
e will look at the class of devices and then go into the device for this w
eek\, the Microsemi SmartFusion2\, giving a description of the device at t
he block level
CATEGORIES:Education
UID:20161020T1709280Z-511394-1083@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161101T160000
DTEND;TZID=America/Sao_Paulo:20161101T170000
SUMMARY:SoC FPGA Devices using the Microsemi SmartFusion2 Device - Day 2
DESCRIPTION: November 1 - Day 2: Planning the Application In this class we
will go over a simple sample application and the initial steps in develop
ment. We will look at partitioning the application between the microcontro
ller and the FPGA fabric. We will also look at planning and laying out the
I/O channels and special features of the chip.
X-ALT-DESC;FMTTYPE=text/html: \; - November 1 - Day 2: Planning the Application <
br />In this class we will go over a simple sample application and the ini
tial steps in development. We will look at partitioning the application be
tween the microcontroller and the FPGA fabric. We will also look at planni
ng and laying out the I/O channels and special features of the chip.
CATEGORIES:Education
UID:20161020T1710130Z-511394-1084@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161102T160000
DTEND;TZID=America/Sao_Paulo:20161102T170000
SUMMARY:SoC FPGA Devices using the Microsemi SmartFusion2 Device - Day 3
DESCRIPTION: November 2 - Day 3: Microcontroller Programming We will start
with programming the microcontroller part of the SmartFusion2. This is a
standard ARM processor. It can be used for the HMI and for system control
functions.
X-ALT-DESC;FMTTYPE=text/html: \;
CATEGORIES:Education
UID:20161020T1710590Z-511394-1085@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161104T160000
DTEND;TZID=America/Sao_Paulo:20161104T170000
SUMMARY:SoC FPGA Devices using the Microsemi SmartFusion2 Device - Day 5
DESCRIPTION: November 4 - Day 5: FPGA Programming - Part 2 and Bringing it
all together In this final lecture the layout phase of the FPGA process w
ill be developed. This will get us to the point where we can bring it all
together. The steps required and the loading process will be covered
X-ALT-DESC;FMTTYPE=text/html: \;
CATEGORIES:Education
UID:20161020T1712330Z-511394-1086@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161103T160000
DTEND;TZID=America/Sao_Paulo:20161103T170000
SUMMARY:SoC FPGA Devices using the Microsemi SmartFusion2 Device - Day 4
DESCRIPTION: November 3 - Day 4: FPGA Programming - Part 1 To start we wil
l look at specifying the algorithm to be used in the FPGA and the tools us
ed to verify it. An overview of the whole tool chain and some examples of
the initial steps will be presented.
X-ALT-DESC;FMTTYPE=text/html: \; - November 3 - Day 4: FPGA Programming - Part 1
To start we will look at specifying the algorithm to be used in the
FPGA and the tools used to verify it. An overview of the whole tool chain
and some examples of the initial steps will be presented.
CATEGORIES:Education
UID:20161020T1713090Z-511394-1087@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161108T160000
DTEND;TZID=America/Sao_Paulo:20161108T170000
SUMMARY:Solve Demanding Environment Challenges with Parylene Conformal Coat
ings
DESCRIPTION:Conformal coatings are often used in the electronics\, automoti
ve\, military\, aerospace and medical device industries to protect product
s from their surrounding environments. As technologies continue to evolve\
, many conformal coatings struggle to provide reliable\, lasting protectio
n. This Webinar will offer an opportunity to learn more about Parylene con
formal coatings - the coating process\, applications Parylenes protect tod
ay\, and the properties and benefits they can offer your products\, includ
ing: • Excellent moisture and chemical barrier • High dielectric strength
• Thermal stability up to 350°C long-term (450°C short-term) • Lubricity/l
ow coefficient of friction • Truly conformal coverage\, with no air-gaps o
r voids For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Conformal coatings are often used in the el
ectronics\, automotive\, military\, aerospace and medical device industrie
s to protect products from their surrounding environments. As technologies
continue to evolve\, many conformal coatings struggle to provide reliable
\, lasting protection.
This Webinar will offer an opportunity
to learn more about Parylene conformal coatings - the coating process\, a
pplications Parylenes protect today\, and the properties and benefits they
can offer your products\, including:
&bull\;
| Excellent moisture
and chemical barrier | &bull\; | High dielectric strength | &bull\; | Thermal stability up to 350°\;C long-term
(450°\;C short-term) | &bull\; | Lubricity/low coefficient of friction | <
td style='color: #000000\; font-family: Arial\, Helvetica\, Sans-Serif\; f
ont-size: 13px\;' align='left' valign='middle' width='10'>&bull\; Truly conformal coverage\, w
ith no air-gaps or voids For mo
re information and to register\, click here.
CATEGORIES:Education
UID:20161020T1715200Z-511394-1088@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161103T160000
DTEND;TZID=America/Sao_Paulo:20161103T170000
SUMMARY:New VxWorks Enhancements for the Evolving Demands of the Embedded D
evice Market
DESCRIPTION:Balancing time-to-market with ever-increasing new embedded devi
ce functionality demands? Join our web seminar to learn about the latest V
xWorks® updates for advanced virtualization\, partitioning\, and compartme
ntalization as well as new processor and Java support. Learn about these u
pdates: Security updates for keeping your devices and data protected Safet
y certification updates across industries New Java application support New
support for the latest ARM®\, Power Architecture®\, and Intel® processors
New tool updates for faster testing and debugging
X-ALT-DESC;FMTTYPE=text/html:Balancing tim
e-to-market with ever-increasing new embedded device functionality demands
? Join our web seminar to learn about the latest VxWorks®\; updates for advanced virtualiza
tion\, partitioning\, and compartmentalization as well as new processor an
d Java support. Learn about these up
dates: | Security updates for keepi
ng your devices and data protected | | Safety certification updates acro
ss industries | | New Java application support | | New support for the
latest ARM®\;\,
Power Architecture®\;
sup>\, and Intel®\; processors | | New tool updates for faster testing and debugging |
CATEGORIES:Education
UID:20161020T1722230Z-511394-1089@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161026T120000
DTEND;TZID=America/Sao_Paulo:20161026T130000
SUMMARY:PrimeTime User Case Studies: 5X Reduction in Hardware Cost with Red
uced Resource ECO
DESCRIPTION:Design teams regularly face resource challenges as they near si
gnoff and the number of scenarios being analyzed increases. In response to
this\, a new PrimeTime ECO technology has been introduced to help manage
compute resource requirements by specifically targeting the parts of the d
esign requiring ECO fixes. In this webinar\, we review how this technology
has allowed PrimeTime ECO users to reduce the memory required to complete
ECO closure by 5X while retaining quality of results. Topics will include
: Industry trend of design size and signoff scenarios Review of PrimeTime’
s scalable multi-scenario ECO architecture Introduction of PrimeTime Reduc
ed Resource ECO technology Customer case studies from AMD\, Broadcom\, and
Renesas Following the webinar\, you will have an opportunity to ask our C
orporate Applications and R&D teams questions about this cutting-edge tech
nology and how it can help you accelerate timing closure. Who should atten
d? Designers and managers who are interested in multi-scenario timing clos
ure. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Design teams
regularly face resource challenges as they near signoff and the number of
scenarios being analyzed increases. In response to this\, a new PrimeTime
ECO technology has been introduced to help manage compute resource require
ments by specifically targeting the parts of the design requiring ECO fixe
s. In this webinar\, we review how this technology has allowed PrimeTime E
CO users to reduce the memory required to complete ECO closure by 5X while
retaining quality of results. Topics will include: - Industry trend of design size and sig
noff scenarios
- Review of PrimeTime&rsquo\;s scalable multi-scena
rio ECO architecture
- Introduction of PrimeTime Reduced Resource
ECO technology
- Customer case studies from AMD\, Broadcom\, and R
enesas
Following the webinar
\, you will have an opportunity to ask our Corporate Applications and R&am
p\;D teams questions about this cutting-edge technology and how it can hel
p you accelerate timing closure. Who should attend?
strong> Designers and managers who are interested in multi-scenario
timing closure.  \; REGIST
ER
CATEGORIES:Education
UID:20161020T1726240Z-511394-1090@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161019T160000
DTEND;TZID=America/Sao_Paulo:20161019T170000
SUMMARY:Tools of the Hardware Hacking Trade
DESCRIPTION: In this age of Internet-connected devices\, widespread deploy
ment of embedded systems\, and high profile attacks against all things ele
ctronic\, it's time we get serious about security. Through this three part
webinar series\, Joe Grand takes you on a journey of hardware hacking\, i
ncluding the tools\, process\, examples\, and resources to help you acknow
ledge the threats and work towards designing more secure products. Registe
r for this this webcast Joe Grand Proprietor of Grand Idea Studio Co-Found
er of Armored Things
X-ALT-DESC;FMTTYPE=text/html:  \; | In this a
ge of Internet-connected devices\, widespread deployment of embedded syste
ms\, and high profile attacks against all things electronic\, it's time we
get serious about security. Through this three part webinar series\, Joe
Grand takes you on a journey of hardware hacking\, including the tools\, p
rocess\, examples\, and resources to help you acknowledge the threats and
work towards designing more secure products. Register for this this webca
st | Joe Grand Proprietor of Gr
and Idea Studio Co-Founder of Armored Things |  \; | |
CATEGORIES:Education
UID:20161020T1728480Z-511394-1091@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161108T140000
DTEND;TZID=America/Sao_Paulo:20161108T150000
SUMMARY:Linux for Military Systems: From Open Source Innovation to Mission-
Critical Performance
DESCRIPTION:Pressured by stringent profitability and interoperability chall
enges\, aerospace and defense contractors are turning to open source as a
good alternative to their in-house technologies. Although adopting an open
source solution can foster innovation\, it also means altering how produc
ts are developed\, especially without sacrificing performance and security
. In this web seminar we will discuss how you can leverage a fully supp
orted binary Linux distribution built with the most recent open source com
ponents to bring new features and capabilities to your projects. Join to
learn how to: Access a commercially supported binary Linux distribution t
hat gives you full source code traceability for quality\, security\, and I
P legal assurance Leverage a powerful update mechanism that allows you to
keep your distribution up to date with the latest Linux security patches a
t the run of a single command line Adhere to an approved Security Technica
l Implementation Guide (STIG)\, OpenSCAP and ISO 9001 for quality and syst
em configuration requirements Scale your distribution for real-time IT/OT
gateways by insertion into IT virtualization platforms (like VMware and KV
M) or for sensitive IT/OT gateways by insertion into safety and security p
latforms (like the industry-leading VxWorks® 653 robustly partitioned plat
forms) REGISTER
X-ALT-DESC;FMTTYPE=text/html: Pressured by stringent profitability and inte
roperability challenges\, aerospace and defense contractors are turning to
open source as a good alternative to their in-house technologies. Althoug
h adopting an open source solution can foster innovation\, it also means a
ltering how products are developed\, especially without sacrificing perfor
mance and security. \;  \; In this web seminar we wi
ll discuss how you can leverage a fully supported binary Linux distributio
n built with the most recent open source components to bring new features
and capabilities to your projects.  \; Join to
learn how to: - Acces
s a commercially supported binary Linux distribution that gives you full s
ource code traceability for quality\, security\, and IP legal assurance
- Leverage a powerful update mechanism
that allows you to keep your distribution up to date with the latest Linu
x security patches at the run of a single command line
- Adhere to an approved Security Technical Implementati
on Guide (STIG)\, OpenSCAP and ISO 9001 for quality and system configurati
on requirements
- Scale your distrib
ution for real-time IT/OT gateways by insertion into IT virtualization pla
tforms (like VMware and KVM) or for sensitive IT/OT gateways by insertion
into safety and security platforms (like the industry-leading VxWorks
®\; 653 robustly partitioned platforms)
<
a href='https://webinar.techonline.com/2598?keycode=TOLNL'>REGISTER
CATEGORIES:Education
UID:20161020T1735160Z-511394-1092@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161116T160000
DTEND;TZID=America/Sao_Paulo:20161116T170000
SUMMARY:Focus on the road ahead: elements of next generation cockpit domain
controllers
DESCRIPTION:In this webinar we will take a close look at the state of the e
volution transitioning the auto industry towards an integrated domain-base
d architecture as well as how software components of mixed-criticality inc
luding infotainment\, digital instrument cluster\, and driver information\
, will coexist as essential elements of the cockpit domain controller. REG
ISTER
X-ALT-DESC;FMTTYPE=text/html:In this webinar we will take a close look a
t the state of the evolution transitioning the auto industry towards an in
tegrated domain-based architecture as well as how software components of m
ixed-criticality including infotainment\, digital instrument cluster\, and
driver information\, will coexist as essential elements of the cockpit do
main controller. REGISTER
CATEGORIES:Education
UID:20161020T1736440Z-511394-1093@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161020T160000
DTEND;TZID=America/Sao_Paulo:20161020T170000
SUMMARY:Additive Manufacturing Aids: Focus on Jigs and Fixtures
DESCRIPTION:Manufacturing relies on tools and aids\, including jigs\, fixtu
res\, templates and gauges to maintain quality and production efficiency.
With 3D printing technology\, you can put more complex and custom jigs and
fixtures on the production floor\, in less time. In this webinar you’ll s
ee how manufacturing companies are using 3D printed jigs and fixtures for
increased productivity. Attendees will learn: How to identify jigs and fix
tures applications on your manufacturing floor How to increase operational
efficiencies and lower costs with custom jigs and fixtures How other comp
anies are using 3D printing technology to produce jigs and fixture Registe
r now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Manufacturing relies on tools and aids\, including jigs\, fixtures\,
templates and gauges to maintain quality and production efficiency. With
3D printing technology\, you can put more complex and custom jigs and fixt
ures on the production floor\, in less time. In this webinar you&rsquo\;ll
see how manufacturing companies are using 3D printed jigs and fixtures fo
r increased productivity. Attendees will learn: - How to identify jigs and fixtures applications on your manufacturing
floor
- How to increase operational efficiencies
and lower costs with custom jigs and fixtures
- Ho
w other companies are using 3D printing technology to produce jigs and fix
ture
CATEGORIES:Education
UID:20161020T1738550Z-511394-1094@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161025T150000
DTEND;TZID=America/Sao_Paulo:20161025T160000
SUMMARY:Fundamentals of Transient Low-Current Measurement
DESCRIPTION:Why this webcast is important: The measurement of fast transien
t voltages is a relatively well-understood and documented procedure. Howe
ver\, the measurement of fast transient currents presents a number of meas
urement challenges that make them much more difficult than measuring volta
ge transients. Digital multi-meters (DMMs) and oscilloscopes using either
shunt resistors or a current probe are the most common methods for measur
ing AC current\, but they all have drawbacks: 1. DMMs have limited bandwid
th and cannot reveal any details about dynamic current behavior 2. Oscillo
scope shunt resistor techniques often introduce unacceptable voltage drops
and noise\, besides having limited dynamic range. 3. Oscilloscope current
probes require degaussing and adjustment\, and they cannot typically meas
ure below a few microamps of current. This webcast will review the above s
olutions and then explain new techniques and equipment that can support th
e measurement of transient currents into the nanoamp and picoamp range at
1 Ghz sampling rates and up to 200 MHz of bandwidth. While the primary foc
us of this webcast is on the characterization of devices and materials\, m
any of the techniques to be discussed are general and can also be applied
to other situations (such as component and module testing). Who should vie
w this webcast: Engineers\, scientists and researchers that need to make l
ow-level transient current measurements would benefit from attending this
webcast. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important: The measurement of fast transient voltages is a re
latively well-understood and documented procedure. \; However\, the me
asurement of fast transient currents presents a number of measurement chal
lenges that make them much more difficult than measuring voltage transient
s. \; Digital multi-meters (DMMs) and oscilloscopes using either shunt
resistors or a current probe are the most common methods for measuring AC
current\, but they all have drawbacks: 1. DMMs have limited bandwidth and cannot reve
al any details about dynamic current behavior 2. Oscilloscope shunt resistor techniques often
introduce unacceptable voltage drops and noise\, besides having limited d
ynamic range. 3. Os
cilloscope current probes require degaussing and adjustment\, and they can
not typically measure below a few microamps of current. This webcast will review the above so
lutions and then explain new techniques and equipment that can support the
measurement of transient currents into the nanoamp and picoamp range at 1
Ghz sampling rates and up to 200 MHz of bandwidth. While the primary focu
s of this webcast is on the characterization of devices and materials\, ma
ny of the techniques to be discussed are general and can also be applied t
o other situations (such as component and module testing). Who should view this webca
st: Engineers\, scientists and researchers that need to ma
ke low-level transient current measurements would benefit from attending t
his webcast.
CATEGORIES:Education
UID:20161020T1741070Z-511394-1095@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161110T143000
DTEND;TZID=America/Sao_Paulo:20161110T153000
SUMMARY:'I Became An Engineer Because...'
DESCRIPTION:During the 'I Became An Engineer Because...' Engineering Live\,
we'll sit down with a group of seasoned engineers to talk about what push
ed them into the world of engineering. With a diverse group of engineers f
rom all over the world\, each with different backgrounds and experience\,
the conversation is sure to be riveting. But that's not all: this engineer
ing live will kick off ECN's monthly video series\, in which even more eng
ineers spanning the globe will be able to tell their story. Join us for th
is in-depth discussion featuring your peers. It should prove to be an inte
resting discussion. Don't Miss it! SIGN UP TODAY!
X-ALT-DESC;FMTTYPE=text/html:During the 'I Became An Engineer Because...
' Engineering Live\, we'll sit down with a group of seasoned engineers to
talk about what pushed them into the world of engineering. With a diverse
group of engineers from all over the world\, each with different backgroun
ds and experience\, the conversation is sure to be riveting. But that's no
t all: this engineering live will kick off ECN's monthly video series\, in
which even more engineers spanning the globe will be able to tell their s
tory. Join us for this in-depth discussion featuring your pe
ers. It should prove to be an interesting discussion. Don't Miss it!
 \;
CATEGORIES:Education
UID:20161020T1743290Z-511394-1096@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161103T150000
DTEND;TZID=America/Sao_Paulo:20161103T160000
SUMMARY:Generating Wideband\, Multi-Channel RADAR Signals Using High-Speed
AWGs
DESCRIPTION:Why this webcast is important: This presentation was developed
to give an overview of why you should consider using a high-speed arbitrar
y waveform generator (AWG) not just for I/Q baseband generation\, but for
direct RF signal generation – in particular\, for pulsed RADAR application
s. After looking at the basic high-speed AWG architecture\, you’ll underst
and the capabilities and limitations of using an AWG relative to a traditi
onal signal generator. Finally\, we’ll look at a number of example measure
ments that will show some of the unique benefits of using an AWG in this a
pplication.. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is
important: This presentation was developed to give an overview of
why you should consider using a high-speed arbitrary waveform generator (
AWG) not just for I/Q baseband generation\, but for direct RF signal gener
ation &ndash\; in particular\, for pulsed RADAR applications. After lookin
g at the basic high-speed AWG architecture\, you&rsquo\;ll understand the
capabilities and limitations of using an AWG relative to a traditional sig
nal generator. Finally\, we&rsquo\;ll look at a number of example measurem
ents that will show some of the unique benefits of using an AWG in this ap
plication..
strong>
CATEGORIES:Education
UID:20161020T1744580Z-511394-1097@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161110T150000
DTEND;TZID=America/Sao_Paulo:20161110T160000
SUMMARY:RF Fundamentals Part 1: The RF Signal Chain and Network Analysis
DESCRIPTION: Why this webcast is important: During this 1-hour webcast\, y
ou will learn about the RF transmit/receive signal chain*. We will review
the role of network analysis in characterizing the key components of these
systems\, covering transmission line theory\, S-parameters\, Smith Charts
\, impedance measurements\, impedance matching\, calibration and error cor
rection. You will learn about transmit/receive and directivity paths and h
ow to optimize dynamic range and accuracy. *In RF/Microwave communications
systems\, data is transmitted using modulation\, up-conversion and amplif
ication and is detected or received through amplification\, down-conversio
n and demodulation. We will refer to this as the RF signal chain. Who shou
ld attend: Recent engineering graduates\, experienced R&D engineers transi
tioning to RF\, or technicians and engineers who are or will be involved i
n manufacturing test and/or design and simulation. Register now for this l
ive webcast
X-ALT-DESC;FMTTYPE=text/html:  \; Why this webcas
t is important: During this 1-hour webcast\, you will learn
about the RF transmit/receive signal chain*. We will review the role of n
etwork analysis in characterizing the key components of these systems\, co
vering transmission line theory\, S-parameters\, Smith Charts\, impedance
measurements\, impedance matching\, calibration and error correction. You
will learn about transmit/receive and directivity paths and how to optimiz
e dynamic range and accuracy. *In RF/Microwave communications systems\, data is tr
ansmitted using modulation\, up-conversion and amplification and is detect
ed or received through amplification\, down-conversion and demodulation. W
e will refer to this as the RF signal chain. Who should attend: Recent engineering graduates\, experienced R&\;D engineers transit
ioning to RF\, or technicians and engineers who are or will be involved in
manufacturing test and/or design and simulation. <
/p>
CATEGORIES:Education
UID:20161031T1608110Z-511394-1098@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161110T160000
DTEND;TZID=America/Sao_Paulo:20161110T170000
SUMMARY:The 7 Biggest Mistakes Manufacturers Make When Launching IoT Produc
ts
DESCRIPTION:The path to creating successful connected products is littered
with road kill. Many industry-leading manufacturers struggle with getting
their discrete products connected and creating meaningful solutions. Witho
ut deep insight into all the potential pitfalls of launching connected pro
ducts for the IoT\, manufacturers are likely to make critical mistakes. Jo
in us for this webinar and learn how to: Avoid common mistakes that can
be extremely costly in money\, time\, and frustration Take a smoother path
to your connected product\, and prevent a “Fire\, Aim\, Ready” approach P
osition your connected products in the marketplace for success Registe
r Now
X-ALT-DESC;FMTTYPE=text/html: The path to creating successful connected products is littered w
ith road kill. Many industry-leading manufacturers struggle with getting t
heir discrete products connected and creating meaningful solutions. Withou
t deep insight into all the potential pitfalls of launching connected prod
ucts for the IoT\, manufacturers are likely to make critical mistakes.
Join us for this webinar and learn how to:  \;
- Avoid common mistak
es that can be extremely costly in money\, time\, and frustration
li>
- Take a smoother path to yo
ur connected product\, and prevent a &ldquo\;Fire\, Aim\, Ready&rdquo\; ap
proach
- Position yo
ur connected products in the marketplace for success
<
p> \;  \;
| | <
/table>  \;
CATEGORIES:Education
UID:20161031T1609580Z-511394-1099@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161103T160000
DTEND;TZID=America/Sao_Paulo:20161103T170000
SUMMARY:Securing your IoT investments with Intel® SSDs
DESCRIPTION:The Internet of Things is about assets and contextually relevan
t data. Security is absolutely critical in protecting your investments to
maximize the return on your investments. In this upcoming webinar\, learn
about Intel’s 3D NAND storage technology and how new SSD’s are instrumenta
l when considering your IoT Security Strategy. SSD advancements have come
a long way for both client\, datacenter and embedded systems. See why SSD’
s are quickly replacing hard drives and how Intel is helping to accelerate
the transition to PCIe NVMe to help you reduce business risks and protect
your valuable brand. Register now for this webcast
X-ALT-DESC;FMTTYPE=text/html: The Internet of Things is about assets and context
ually relevant data. \;Security is absolutely critical in protecting y
our investments to maximize the return on your investments. \;In this
upcoming webinar\, learn about Intel&rsquo\;s 3D NAND storage technology a
nd how new SSD&rsquo\;s are instrumental when considering your IoT Securit
y Strategy. \;SSD advancements have come a long way for both client\,
datacenter and embedded systems. \;See why SSD&rsquo\;s are quickly re
placing hard drives and how Intel is helping to accelerate the transition
to PCIe NVMe to help you reduce business risks and protect your valuable b
rand.
CATEGORIES:Education
UID:20161031T1611310Z-511394-1100@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161103T150000
DTEND;TZID=America/Sao_Paulo:20161103T160000
SUMMARY:Airborne Electronic Warfare and the U.S. Military: Meeting Current
Challenges\, Anticipating Future Threats
DESCRIPTION:Lead on target. Tip of the spear. U.S. military jargon is packe
d with expressions that reference kinetic warfare—and rightly so: it’s a m
ajor component of any engagement. But when dealing with modern states with
their own sophisticated weaponry\, non-kinetic electronic warfare (EW) is
just as important. What have the Armed Forces been doing in the realm of
airborne EW? What have been key programs and the challenges they are meant
to address? Just as critically\, what about the near-future: where do we
go next\, and what enabling technologies would the services like to see fr
om industry? Register Now!
X-ALT-DESC;FMTTYPE=text/html:Lead on target. Tip of the sp
ear. U.S. military jargon is packed with expressions that reference kineti
c warfare&mdash\;and rightly so: it&rsquo\;s a major component of any enga
gement. But when dealing with modern states with their own sophisticated w
eaponry\, non-kinetic electronic warfare (EW) is just as important.
What have the Armed Forces been doing in the realm of airborne EW?
What have been key programs and the challenges they are meant to address?
Just as critically\, what about the near-future: where do we go next\, and
what enabling technologies would the services like to see from industry?
Register Now! \; \;
CATEGORIES:Education
UID:20161031T1615070Z-511394-1101@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161115T130000
DTEND;TZID=America/Sao_Paulo:20161115T140000
SUMMARY:Thermal Optimization for Energy Efficiency
DESCRIPTION:Nearly everything has an optimal operating temperature and ther
mal condition. Millions of dollars each year are spent generating and tran
sporting thermal energy to achieve thermal goals. Thermal optimization not
only improves the economy of transporting energy\, maintaining building t
emperatures\, manufacturing processes and products\, it improves their eff
iciency as well. Engineers use simulation to reveal detailed pictures of t
hermal processes\, providing a deep understanding of all aspects of therma
l management. Join our experts for this Webinar to learn how you can captu
re thermal processes in powerful simulations\, seamlessly identify multiph
ysics interactions that impact performance\, and quickly achieve thermal o
ptimization using integrated design optimization tools. For more informati
on and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Nearly everyt
hing has an optimal operating temperature and thermal condition. Millions
of dollars each year are spent generating and transporting thermal energy
to achieve thermal goals.
Thermal optimization not only impro
ves the economy of transporting energy\, maintaining building temperatures
\, manufacturing processes and products\, it improves their efficiency as
well. Engineers use simulation to reveal detailed pictures of thermal proc
esses\, providing a deep understanding of all aspects of thermal managemen
t.
Join our experts for this Webinar to learn how you can cap
ture thermal processes in powerful simulations\, seamlessly identify multi
physics interactions that impact performance\, and quickly achieve thermal
optimization using integrated design optimization tools.
For
more information and to register\, click here.
CATEGORIES:Education
UID:20161031T1617090Z-511394-1102@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161115T130000
DTEND;TZID=America/Sao_Paulo:20161115T140000
SUMMARY:Use Proper Taint Analysis\, Especially in the IoT - Embedded Univer
sity Class 1
DESCRIPTION:In this class\, we will teach software developers\, testers\, a
nd analysts how taint analysis can identify exploitable areas within an ap
plication and/or system that could be used as an entry point by a hacker.
Using IoT as our example\, we will help perform proper taint analysis\, ev
aluating how data modified purposely by a user or system (such as a variab
le set by a field in a web form or a package of information send across an
automotive CAN bus) poses a potential security risk. With the continuing
surge in code and data spawned by the IoT’s popularity\, this is especiall
y needed to maximize security and maintain an efficient data flow\, regard
less of whether it’s data within an application or data that’s transferred
between applications. Given the enormous increase in application and syst
em connectivity\, all software should be analyzed for taint defects. Day 1
sponsored by GrammaTech. REGISTER NOW FOR CLASS 1
X-ALT-DESC;FMTTYPE=text/html: In this class\, we will teach software developers\, testers\, and
analysts how taint analysis can identify exploitable areas within an appli
cation and/or system that could be used as an entry point by a hacker. Usi
ng IoT as our example\, we will help perform proper taint analysis\, evalu
ating how data modified purposely by a user or system (such as a variable
set by a field in a web form or a package of information send across an au
tomotive CAN bus) poses a potential security risk. With the continuing sur
ge in code and data spawned by the IoT&rsquo\;s popularity\, this is espec
ially needed to maximize security and maintain an efficient data flow\, re
gardless of whether it&rsquo\;s data within an application or data that&rs
quo\;s transferred between applications. Given the enormous increase in ap
plication and system connectivity\, all software should be analyzed for ta
int defects. Day 1 sponsored by GrammaTech. REGISTER NOW FOR CLASS 1
CATEGORIES:Education
UID:20161031T1628070Z-511394-1103@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161116T130000
DTEND;TZID=America/Sao_Paulo:20161116T140000
SUMMARY:Don’t Let Concurrency Bring your System Down - Embedded University
Class 2
DESCRIPTION:Class 2 will be a follow-on topic to class 1\, where we will di
scuss the concept of concurrency. It’s clear that today’s developers need
to be designing more efficient and higher performing applications using mu
lti-threading\, and testers need to understand how to efficiently pinpoint
potential race conditions caused by concurrency defects that will lead to
system failure. This is especially true when it comes to programming for
multicore processors. Within the class\, we will provide key insights and
techniques for identifying concurrency defects\, key to quality and safety
\, particularly as it pertains to the Internet of Things (IoT). Day 2 spon
sored by GrammaTech. REGISTER NOW FOR CLASS 2
X-ALT-DESC;FMTTYPE=text/html: Class 2 will be a follow-on topic to class 1\, where we will discu
ss the concept of concurrency. It&rsquo\;s clear that today&rsquo\;s devel
opers need to be designing more efficient and higher performing applicatio
ns using multi-threading\, and testers need to understand how to efficient
ly pinpoint potential race conditions caused by concurrency defects that w
ill lead to system failure. This is especially true when it comes to progr
amming for multicore processors. Within the class\, we will provide key in
sights and techniques for identifying concurrency defects\, key to quality
and safety\, particularly as it pertains to the Internet of Things (IoT).
Day 2 sponsored by GrammaTech. &nbs
p\; REGISTER NOW FOR CLASS
2
CATEGORIES:Education
UID:20161031T1627430Z-511394-1104@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161117T130000
DTEND;TZID=America/Sao_Paulo:20161117T140000
SUMMARY:Mitigate the Software Supply-Chain Risk - Embedded University Class
3
DESCRIPTION:Class 3 will delve into mitigating software supply-chain risk b
y implementing a thorough security audit process of one’s software\, which
has become more critical as more code is being “leveraged” as opposed to
written. We will discuss potential risks of leveraged code: previously tru
sted legacy code\, commercial third-party code\, and open-source code. We
will discuss binary analysis technologies for efficiently assessing risk.
This need is growing as too often this code isn’t properly tested\, especi
ally with the specific target hardware. The instructor will be covering bo
th the technical aspects and the process that should be followed\, with sp
ecial attention paid to software that’s written for the IoT. Day 3 sponsor
ed by GrammaTech. REGISTER NOW FOR CLASS 3
X-ALT-DESC;FMTTYPE=text/html: Class 3 will delve int
o mitigating software supply-chain risk by implementing a thorough securit
y audit process of one&rsquo\;s software\, which has become more critical
as more code is being &ldquo\;leveraged&rdquo\; as opposed to written. We
will discuss potential risks of leveraged code: previously trusted legacy
code\, commercial third-party code\, and open-source code. We will discuss
binary analysis technologies for efficiently assessing risk. This need is
growing as too often this code isn&rsquo\;t properly tested\, especially
with the specific target hardware. The instructor will be covering both th
e technical aspects and the process that should be followed\, with special
attention paid to software that&rsquo\;s written for the IoT. Day 3 spons
ored by GrammaTech.  \; REGISTER NOW FOR CLASS 3
span>  \;
CATEGORIES:Education
UID:20161031T1626530Z-511394-1105@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161109T140000
DTEND;TZID=America/Sao_Paulo:20161109T150000
SUMMARY: How to Read a Datasheet
DESCRIPTION:Overview: Sponsored by Analog Devices and Mouser. What is in a
datasheet\, and how can the information it contains help you select the r
ight part for your design? This wide-ranging and informative webcast will
take you through a typical datasheet and explain the full meaning behind m
any often misunderstood specs and sections. Who Should Attend: Students an
d younger engineers who are at the beginning of their careers. More experi
enced engineers who are in a mentorship role that need to educate younger
engineers. Also\, engineers who might be moving into a new product or appl
ication area.
X-ALT-DESC;FMTTYPE=text/html:Overview:
Spo
nsored by Analog Devices and Mouser. \; \;What is in a da
tasheet\, and how can the information it contains help you select the righ
t part for your design? This wide-ranging and informative webcast will tak
e you through a typical datasheet and explain the full meaning behind many
often misunderstood specs and sections. Who Should Attend:
Students and younger engineers who are at t
he beginning of their careers. More experienced engineers who are in a men
torship role that need to educate younger engineers. Also\, engineers who
might be moving into a new product or application area. \;  \;
CATEGORIES:Education
UID:20161031T1629570Z-511394-1106@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161102T160000
DTEND;TZID=America/Sao_Paulo:20161102T170000
SUMMARY:Securing IoT Systems within Network and Device Constraints
DESCRIPTION:The resource constraints of low cost\, low power devices and ne
tworks in IoT systems require designers to carefully consider security opt
ions and tradeoffs. Through a case study\, this webinar provides insights
into the constraints that designers encounter when developing a secure so
lution for resource limited devices and networks. It also explores the tr
adeoffs between business viability and technical feasibility that drive so
lutions toward being resource constrained. Presenter: Dave Moelker Click b
elow to register for this free course.
X-ALT-DESC;FMTTYPE=text/html: The resourc
e constraints of low cost\, low power devices and networks in IoT systems
require designers to carefully consider security options and tradeoffs.&nb
sp\; Through a case study\, this webinar provides insights into the constr
aints that designers encounter when developing a secure solution for resou
rce limited devices and networks. \; It also explores the tradeoffs be
tween business viability and technical feasibility that drive solutions to
ward being resource constrained. Presenter:
Dave Moelker Click below to register for thi
s free course.
CATEGORIES:Education
UID:20161031T1631310Z-511394-1107@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161102T160000
DTEND;TZID=America/Sao_Paulo:20161102T170000
SUMMARY:The Single Solution for Rapid Vehicle Interior Air Quality (VIAQ) T
esting.
DESCRIPTION:Register now https://event.webcasts.com/starthere.jsp?ei=11205
79 Regulators and consumers demand increasingly lower levels of harmful
volatile emissions in motor vehicle passenger cabins\, pushing manufact
urers toward more comprehensive testing. However\, conventional analytical
technologies are expensive\, complex\, and slow\, since they are based o
n chromatographic methods. Selected Ion Flow Tube Mass Spectrometry (SI
FT-MS) is an innovative\, industry-proven analytical technique that direct
ly and instantly analyzes air down to ppt concentrations\, providing rapi
d component screening and immediate process feedback. This 60-minute We
binar will introduce SIFT-MS and outline the benefits that make it the ide
al tool for product emission testing applications from component screenin
g through to complete vehicle testing on the production line. For more
information and to register\, click here. https://event.webcasts.com/sta
rthere.jsp?ei=1120579 Speakers: ------------ Barry Prince\, Ph.
D. Director of Global Sales\, Syft Technologies Vaughan Langford\, Ph
.D. Director of Applications & Marketing\, Syft Technologies Moderato
r: ------------ Lisa Arrigo SAE International ##################
###############################################################
X-ALT-DESC;FMTTYPE=text/html: Register now https://e
vent.webcasts.com/starthere.jsp?ei=1120579 Regulators and consumers
demand increasingly lower levels of harmful volatile emissions in motor v
ehicle passenger cabins\, pushing manufacturers toward more comprehensiv
e testing. However\, conventional analytical technologies are expensive\,
complex\, and slow\, since they are based on chromatographic methods.
Selected Ion Flow Tube Mass Spectrometry (SIFT-MS) is an innovative\, indu
stry-proven analytical technique that directly and instantly analyzes air
down to ppt concentrations\, providing rapid component screening and imme
diate process feedback. This 60-minute Webinar will introduce SIFT-MS a
nd outline the benefits that make it the ideal tool for product emission t
esting applications from component screening through to complete vehicle
testing on the production line. For more information and to register\,
click here. https://event.webcasts.com/starthere.jsp?e
i=1120579 Speakers: ------------ Barry Prince\, Ph.D. Dire
ctor of Global Sales\, Syft Technologies Vaughan Langford\, Ph.D. Dir
ector of Applications &\; Marketing\, Syft Technologies Moderator:
------------ Lisa Arrigo SAE International #####################
############################################################
CATEGORIES:Education
UID:20161031T1634440Z-511394-1108@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161103T160000
DTEND;TZID=America/Sao_Paulo:20161103T170000
SUMMARY:Integrating Novel Materials to Improve Medical Device Performance
DESCRIPTION:oday's implantables are highly engineered assemblies with multi
ple complex elements that require innovative materials and sophisticated m
anufacturing. The development and use of new and novel materials and proce
sses has enabled advances in both medical device performance and patient s
afety. Whether it's a lighter material\, a smoother surface\, or a process
that can be made automated and repeatable\, advanced technologies such as
scratch-free surfaces or specialized coatings for stimulation can help yo
u extend or improve the life of your implantable device. Register for this
Webinar and you will learn about - • Custom-developed materials for medic
al device implants • Super-finished and engineered surfaces for medical im
plants • Additive manufacturing and personalized medical implants For more
information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html: oday's implantables are highly engineered a
ssemblies with multiple complex elements that require innovative materials
and sophisticated manufacturing. The development and use of new and novel
materials and processes has enabled advances in both medical device perfo
rmance and patient safety.
Whether it's a lighter material\,
a smoother surface\, or a process that can be made automated and repeatabl
e\, advanced technologies such as scratch-free surfaces or specialized coa
tings for stimulation can help you extend or improve the life of your impl
antable device.
Register for this Webinar and you will learn
about - &bull\; | Custom-developed materials for medical device implants | &bull\; | Super-finished and engineered surfaces for medical implants |
&bull\;
| Additive manufactur
ing and personalized medical implants |
For more information and to register\, click here.
CATEGORIES:Education
UID:20161031T1636280Z-511394-1109@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161117T160000
DTEND;TZID=America/Sao_Paulo:20161117T170000
SUMMARY:Why do you need an Incident Energy/Arc Flash Hazard Analysis?
DESCRIPTION:Arc Flash Electrical Safety begins with the understanding of al
l potential arc flash hazards that may be encountered. This webcast will e
xplain the importance of understanding arc flash hazards\, the standards a
nd codes that provide guidance for workers to be properly prepared to face
those hazards\, how the hazards are determined\, ways to protect personne
l\, and reduce or possibly eliminate those hazards. Learning Objectives: W
hat is an arc flash hazard and how can it affect me or my employees? What
is involved in the Incident Energy/Arc Flash Hazard Analysis process? What
are the regulations and requirements in having an Incident Energy/Arc Fla
sh Hazard Analysis performed? How do I protect personnel and how do I miti
gate the high hazards? Speakers: Presenter: Ryan Downey\, Principal Engine
er\, AVO Training Institute
X-ALT-DESC;FMTTYPE=text/html: Arc Flash Electrical Safety begins with the
understanding of all potential arc flash hazards that may be encountered.
This webcast will explain the importance of understanding arc fla
sh hazards\, the standards and codes that provid
e guidance for workers to be properly prepared to face those hazards\, how
the hazards are determined\, ways to protect personnel\,
and reduce or possibly eliminate those hazards. Learning Objectives:<
/p> - What is an arc flash hazard and how can it affect me or my
employees?
- What is involved in the Incident Energy/Arc Flash Haz
ard Analysis process?
- What are the regulations and requirements
in having an Incident Energy/Arc Flash Hazard Analysis performed?
How do I protect personnel and how do I mitigate the high hazards?
Speakers: |
Presenter: <
span class='m_-3377218300067246262bold1'>Ryan Downey\, Principal Engineer\
, AVO Training Institute |
CATEGORIES:Education
UID:20161104T1149500Z-511394-1110@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161130T160000
DTEND;TZID=America/Sao_Paulo:20161130T170000
SUMMARY:Embedded Hypervisors
DESCRIPTION: Sponsored by: REGISTER NOW Spend some one on one time with th
e QNX virtualization experts: Powerful computer hardware with multiple cor
es and multiple graphic displays are making an impact in every embedded in
dustry\, especially automotive. Virtualization of operating systems and se
rvices seems like a natural progression to run on this hardware. But how d
o you do this properly? Safely? Securely? With the right mix of real-time
and performance? How do you share graphics between operating systems? Shar
e audio interfaces? Bring your questions and have them answered by the QNX
embedded hypervisor kernel team… the team that writes the code and solves
the hard design problems. Speaker: Randy Martin\, Product Manager\, QNX M
oderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; | | Sponsored by:
|
Spend some one on one time with the QNX virtualizat
ion experts: Powerful computer hardware with multiple cores
and multiple graphic displays are making an impact in every embedded indu
stry\, especially automotive. Virtualization of operating systems and serv
ices seems like a natural progression to run on this hardware. But how do
you do this properly? Safely? Securely? With the right mix of real-time an
d performance? How do you share graphics between operating systems? Share
audio interfaces? Bring your questions and have them answered by t
he QNX embedded hypervisor kernel team&hellip\; the team that writes the c
ode and solves the hard design problems. | Speaker: Randy Martin\, Product Manager\, QNX Moderator: \; Brandon Lewis\, OpenSystems M
edia | |  \;
CATEGORIES:Education
UID:20161104T1151560Z-511394-1111@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161207T160000
DTEND;TZID=America/Sao_Paulo:20161207T170000
SUMMARY:ISO 26262 Approval of Automotive Software Components
DESCRIPTION: ISO 26262 is the de facto safety standard for road vehicle el
ectronic systems development and production. This comprehensive ten-part s
tandard applies to all elements of vehicle design including functional saf
ety\, system development\, hardware\, software\, supporting processes and
more. Although it can be quite costly and daunting to implement\, ISO 2626
2 is organized such that software components can be certified independent
of the vehicle\, which allows OEMs to take advantage of commercial off-the
-shelf software to reduce their certification cost and risk. This webinar\
, hosted by RTI and Verocel\, will provide an overview of the ISO26262 sof
tware objectives and how commercial software components like RTI Connext D
DS can be assessed and used across many various platforms without modifica
tion. It will also show how Connext DDS reduces initial and recurring cert
ification costs by decoupling modules and easing integration of components
with different safety levels. The key characteristics of ISO 26262 reusab
le software are presented along with useful recommendations on how to inte
grate reusable software in subsequent ISO 26262 assessments. Speakers: Bob
Leigh\, Director of New Markets\, RTI Joe Wlad\, Vice President of Busine
ss Development\, Verocel Moderator: Brandon Lewis\, OpenSystems Media REG
ISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | <
/tr> | ISO 26262 is the de facto safety s
tandard for road vehicle electronic systems development and production. Th
is comprehensive ten-part standard applies to all elements of vehicle desi
gn including functional safety\, system development\, hardware\, software\
, supporting processes and more. Although it can be quite costly and daunt
ing to implement\, ISO 26262 is organized such that software components ca
n be certified independent of the vehicle\, which allows OEMs to take adva
ntage of commercial off-the-shelf software to reduce their certification c
ost and risk. This webinar\, hosted by RTI and Verocel\, will prov
ide an overview of the ISO26262 software objectives and how commercial sof
tware components like RTI Connext DDS can be assessed and used across many
various platforms without modification. It will also show how Connext DDS
reduces initial and recurring certification costs by decoupling modules a
nd easing integration of components with different safety levels. The key
characteristics of ISO 26262 reusable software are presented along with us
eful recommendations on how to integrate reusable software in subsequent I
SO 26262 assessments. | Speakers: Bob Leigh
\, Director of New Markets\, RTI Joe Wlad\, Vice President of Busine
ss Development\, Verocel Moderato
r: \; Brandon Lewis\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20161104T1153470Z-511394-1112@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161129T160000
DTEND;TZID=America/Sao_Paulo:20161129T170000
SUMMARY:A Hand’s-on Approach to Getting Started with Your Automotive and In
dustrial IoT Gateway Application
DESCRIPTION: Automotive and industrial gateway applications require the in
tegration of IoT connectivity\, hardware security and functional safety. T
he MPC5748G from NXP is ideally suited for these applications and this tec
hnical presentation will showcase these features using the associated DEVK
IT-MPC5748G development platform. During this presentation you will gain a
deep understanding of the device and development board\, as well as\, the
S32 Design Studio integrated development environment for Automotive and U
ltra-Reliable MCUs that enables editing\, compiling and debugging of your
designs. Kushal Shah\, NXP Application Engineer\, will walk you through ev
ery step required for set up\, including code write up to debugging\, so y
ou can get started on your own. You will also see code examples to help sp
eed you up the learning curve even faster. Speaker: Kushal Shah\, Systems
and Applications Engineer for Automotive Microcontrollers and Processors G
roup\, NXP Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| |
Automotive and industrial gateway appl
ications require the integration of IoT connectivity\, hardware security a
nd functional safety. The MPC5748G from NXP is ideally suited for these ap
plications and this technical presentation will showcase these features us
ing the associated DEVKIT-MPC5748G development platform. During this prese
ntation you will gain a deep understanding of the device and development b
oard\, as well as\, the S32 Design Studio integrated development environme
nt for Automotive and Ultra-Reliable MCUs that enables editing\, compiling
and debugging of your designs. Kushal Shah\, NXP Application Engi
neer\, will walk you through every step required for set up\, including co
de write up to debugging\, so you can get started on your own. You will al
so see code examples to help speed you up the learning curve even faster.<
/p> | Speaker: Kushal Shah\, Systems and Applic
ations Engineer for Automotive Microcontrollers and Processors Group\, NXP
Moderator: \;
Brandon Lewis\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20161104T1202450Z-511394-1113@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161216T160000
DTEND;TZID=America/Sao_Paulo:20161216T170000
SUMMARY:Medical Devices ARE Embedded Systems
DESCRIPTION: Embedded systems and medical devices continue to go down the
same path – choosing the most appropriate processor\; finding a secure ope
rating system\; building a simple user interface\; and so on. In this E-ca
st we will touch on all of these facets\, one by one. First\, the list of
questions that surround the processor is a long one\, and it usually has t
o do with the specific device being designed. The OS and the required leve
l of security generally go hand-in-hand\, and we’ll show you why. And fina
lly\, UI is dependent on who\, when\, and where the device will be deploye
d. Sponsors: GrammaTech\, Macadamian Moderator: Rich Nass\, OpenSystems M
edia REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | | Embedded systems and medical devices continue to go
down the same path &ndash\; choosing the most appropriate processor\; find
ing a secure operating system\; building a simple user interface\; and so
on. In this E-cast we will touch on all of these facets\, one by one. Firs
t\, the list of questions that surround the processor is a long one\, and
it usually has to do with the specific device being designed. The OS and t
he required level of security generally go hand-in-hand\, and we&rsquo\;ll
show you why. And finally\, UI is dependent on who\, when\, and where the
device will be deployed. | Sponsors: Gramm
aTech\, Macadamian Moderator:&nbs
p\; Rich Nass\, OpenSystems Media | <
td style='background-color: #fff\; padding: 20px\;' colspan='2'>
tr>  \;
CATEGORIES:Education
UID:20161104T1204410Z-511394-1114@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161108T160000
DTEND;TZID=America/Sao_Paulo:20161108T170000
SUMMARY:Accelerated Power Analysis and Verification with Synopsys Verdi Tec
hnologies
DESCRIPTION: Date: November 08\, 2016 Time:10:00 AM PST Duration: 30 minut
es From high end servers to consumer electronics to wearables\, today’s de
vices are designed to operate within a specific power envelope. SoC teams
utilize sophisticated low power design techniques and implement complicate
d power management architectures in order to meet power targets. However\,
low power verification typically happens late in the traditional design f
low\, and subject to tight deadlines\, some low power design features ofte
n get scaled back due to incomplete verification. As a result\, chips beco
me less feature-rich than intended\, potentially losing their competitive
advantage. In this Synopsys webinar\, discover how native integrations of
Verdi design debug technologies with Synopsys’ power analysis and verifica
tion solutions help catch power-related bugs earlier and faster. The indus
try-leading Verdi platform couples powerful tracing techniques with unique
source code and schematic browsers\, enabling teams to quickly debug low
power issues in RTL or netlist designs\, as well as in the UPF power inten
t specification. These specialized power-aware debug capabilities accelera
te low power verification and ensure successful delivery of intended low p
ower features.
X-ALT-DESC;FMTTYPE=text/html:  \; |
| Date: November 08\, 2016
Time:10:00 AM PST Duration:
30 minutes From high end servers to consumer electronics to w
earables\, today&rsquo\;s devices are designed to operate within a specifi
c power envelope. SoC teams utilize sophisticated low power design techniq
ues and implement complicated power management architectures in order to m
eet power targets. However\, low power verification typically happens late
in the traditional design flow\, and subject to tight deadlines\, some lo
w power design features often get scaled back due to incomplete verificati
on. As a result\, chips become less feature-rich than intended\, potential
ly losing their competitive advantage. In this Synopsys webin
ar\, discover how native integrations of Verdi design debug technologies w
ith Synopsys&rsquo\; power analysis and verification solutions help catch
power-related bugs earlier and faster. The industry-leading Verdi platform
couples powerful tracing techniques with unique source code and schematic
browsers\, enabling teams to quickly debug low power issues in RTL or net
list designs\, as well as in the UPF power intent specification. These spe
cialized power-aware debug capabilities accelerate low power verification
and ensure successful delivery of intended low power features. |
 \;
CATEGORIES:Education
UID:20161104T1208320Z-511394-1115@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161114T160000
DTEND;TZID=America/Sao_Paulo:20161114T170000
SUMMARY:Code Sharing\, Collaboration\, and Version Control: An Hands-on Int
roduction to Git and Github - Day 1
DESCRIPTION:Today's development tools for generating code give engineers an
d developers everything they need to write that code - yet many times\, we
don't go it alone. In order to efficiently work together on code projects
\, we need collaboration tools that allow multiple people to work on code
securely without making conflicting changes to the same code without recon
ciliation. We also need efficient sharing and reuse of code\, tracking of
bugs and other issues\, and archival of revisions. One such tool is the po
pular program Git. November 14 - Day 1: Introduction to Version Control
and Code Collaboration In this leadoff class\, we will look at the concept
s behind software version control and code collaboration. We will develop
the checklist of what we need for an efficient system and what led Torvald
s to develop Git.
X-ALT-DESC;FMTTYPE=text/html: Today's devel
opment tools for generating code give engineers and developers everything
they need to write that code - yet many times\, we don't go it alone. In o
rder to efficiently work together on code projects\, we need collaboration
tools that allow multiple people to work on code securely without making
conflicting changes to the same code without reconciliation. We also need
efficient sharing and reuse of code\, tracking of bugs and other issues\,
and archival of revisions. One such tool is the popular program Git.
 \;
CATEGORIES:Education
UID:20161112T2138080Z-511394-1116@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161115T160000
DTEND;TZID=America/Sao_Paulo:20161115T170000
SUMMARY:Code Sharing\, Collaboration\, and Version Control: An Hands-on Int
roduction to Git and Github - Day 2
DESCRIPTION:Today's development tools for generating code give engineers an
d developers everything they need to write that code - yet many times\, we
don't go it alone. In order to efficiently work together on code projects
\, we need collaboration tools that allow multiple people to work on code
securely without making conflicting changes to the same code without recon
ciliation. We also need efficient sharing and reuse of code\, tracking of
bugs and other issues\, and archival of revisions. One such tool is the po
pular program Git. November 15 - Day 2: Basic Git Concepts For our secon
d class\, we will take the concepts from the first class\, apply them to G
it\, and look at its structure and operation. We will also introduce the t
erminology that we will use through the rest of the week.
X-ALT-DESC;FMTTYPE=text/html: Today's devel
opment tools for generating code give engineers and developers everything
they need to write that code - yet many times\, we don't go it alone. In o
rder to efficiently work together on code projects\, we need collaboration
tools that allow multiple people to work on code securely without making
conflicting changes to the same code without reconciliation. We also need
efficient sharing and reuse of code\, tracking of bugs and other issues\,
and archival of revisions. One such tool is the popular program Git.
 \; - November 15 - D
ay 2: Basic Git Concepts
For our second class\, we wil
l take the concepts from the first class\, apply them to Git\, and look at
its structure and operation. We will also introduce the terminology that
we will use through the rest of the week.
CATEGORIES:Education
UID:20161112T2139410Z-511394-1117@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180215T140000
DTEND;TZID=America/Sao_Paulo:20180215T150000
SUMMARY:Innovative Isolated RS-485\, SPI and LVDS Communications
DESCRIPTION:Overview: Sponsored by Analog Devices and Arrow. This webcast
shows the benefits of Analog Devices' combined interface and isolation por
tfolio for several application examples including RS-485 solutions for Mil
itary\, Aerospace and Industrial applications requiring high EMC immunity\
; isolating SPI interfaced SAR ADC's and using LVDS transceivers to solve
AFE (Analogue Front End) and PLC (Programmable Logic Controller) backplane
communications challenges. Who Should Attend: Engineers seeking EMC robus
t communication interface solutions: Marketing Engineers\, Marketing Manag
ers\, Product Application Engineers\, System Application Engineers\, Syste
m Architects\, EMC Engineers\, Communication Network Specialists\, Profibu
s Installation Engineers.
X-ALT-DESC;FMTTYPE=text/html: Overview: Sponsored by Analog Devices and Arrow. \; This webcast s
hows the benefits of Analog Devices' combined interface and isolation port
folio for several application examples including RS-485 solutions for Mili
tary\, Aerospace and Industrial applications requiring high EMC immunity\;
isolating SPI interfaced SAR ADC's and using LVDS transceivers to solve A
FE (Analogue Front End) and PLC (Programmable Logic Controller) backplane
communications challenges. Who Should Attend: Engineers seeking EMC robust co
mmunication interface solutions: Marketing Engineers\, Marketing Managers\
, Product Application Engineers\, System Application Engineers\, System Ar
chitects\, EMC Engineers\, Communication Network Specialists\, Profibus In
stallation Engineers.
span>
CATEGORIES:Education
UID:20180206T1917410Z-511394-1688@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161213T160000
DTEND;TZID=America/Sao_Paulo:20161213T170000
SUMMARY:Introduction to TI Security Solutions
DESCRIPTION: The internet of Things is a technology that enables to collec
t data from many applications to them available in the cloud to provide an
alytics and services to the users. In this increasingly complex and connec
ted world\, new threats are emerging to steal or compromise information fr
om the IoT enabled applications and security is an increasing concern acro
ss industrial\, medical\, automotive and personal electronics applications
. Developers are trying to protect their investment\, their application\,
what Texas Instruments (TI) defines as the “assets” ranging from data\, co
de\, identities and keys. This webinar will introduce the TI security tool
box provided to developers to implement their security measures. Presenta
tion will introduce the TI security framework and the various industry’s r
ecognized security enablers that TI provides. Ranging from hardware featur
e to software solution\, webinar will also feature the leading edge micro-
controllers and micro-processors supporting security features\, giving som
e concrete examples on application example benefiting from the security en
abler. Getting started on security can be challenging and the webinar will
also summarize the various TI resources available today on security. Oliv
ier Monnier Olivier Monnier is the director of marketing for TI’s wireless
connectivity and IoT solutions since May 2014. Prior to this role\, he sp
ent 14 years in various positions at TI including worldwide smart grid mar
keting and business manager starting as an applications engineer for digit
al signal processors and microcontrollers. He graduated in energy conversi
on and power electronics at the Ecole Nationale Superieure d’Electricite e
t de Mecanique (ENSEM\, Nancy). He has more than 15 years of experience in
industrial and energy related applications. Click below to register for t
his free course.
X-ALT-DESC;FMTTYPE=text/html:  \; The internet of Things is a technology that enables
to collect data from many applications to them available in the cloud to p
rovide analytics and services to the users. In this increasingly complex a
nd connected world\, new threats are emerging to steal or compromise infor
mation from the IoT enabled applications and security is an increasing con
cern across industrial\, medical\, automotive and personal electronics app
lications. Developers are trying to protect their investment\, their appli
cation\, what Texas Instruments (TI) defines as the &ldquo\;assets&rdquo\;
ranging from data\, code\, identities and keys. This webinar will introdu
ce the TI security tool box provided to developers to implement their secu
rity measures. Presentation will introduce the TI security framework and t
he various industry&rsquo\;s recognized security enablers that TI provides
. Ranging from hardware feature to software solution\, webinar will also f
eature the leading edge micro-controllers and micro-processors supporting
security features\, giving some concrete examples on application example b
enefiting from the security enabler. Getting started on security can be ch
allenging and the webinar will also summarize the various TI resources ava
ilable today on security. Ol
ivier Monnier Olivier Monnier is the director of marketing
for TI&rsquo\;s wireless connectivity and IoT solutions since May 2014. P
rior to this role\, he spent 14 years in various positions at TI including
worldwide smart grid marketing and business manager starting as an applic
ations engineer for digital signal processors and microcontrollers. He gra
duated in energy conversion and power electronics at the Ecole Nationale S
uperieure d&rsquo\;Electricite et de Mecanique (ENSEM\, Nancy). He has mor
e than 15 years of experience in industrial and energy related application
s. Click below to register for this free cou
rse.
CATEGORIES:Education
UID:20161201T1640070Z-511394-1152@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161206T130000
DTEND;TZID=America/Sao_Paulo:20161206T140000
SUMMARY:Enabling SIGINT thru Deep Learning Tech & HPEC
DESCRIPTION: Military signal intelligence (SIGINT) and image processing ap
plications require the processing of very large data sets to predict and e
valuate future courses of action. Government researchers such as the U.S.
Department of Homeland Security’s Synthetic Environment for Analysis and S
imulations (SEAS) project are leveraging deep learning to solve this chall
enge. However\, such complex applications require extremely high processin
g power in small footprints\, a solution that has only recently become ava
ilable with the onset of high performance embedded computing (HPEC). This
webinar with industry experts from Kontron discusses how HPEC systems that
leverage the latest Intel technology can enable deep learning in SIGINT\,
image processing\, and other defense applications. Speaker: Mark Littlefi
eld\, Vertical Product Manager\, Defense\, Kontron Dr. Mohamed Bergach\, S
ystem/Software Architect\, Kontron Moderator: John McHale\, OpenSystems M
edia REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | Milit
ary signal intelligence (SIGINT) and image processing applications require
the processing of very large data sets to predict and evaluate future cou
rses of action. Government researchers such as the U.S. Department of Home
land Security&rsquo\;s Synthetic Environment for Analysis and Simulations
(SEAS) project are leveraging deep learning to solve this challenge. Howev
er\, such complex applications require extremely high processing power in
small footprints\, a solution that has only recently become available with
the onset of high performance embedded computing (HPEC). This web
inar with industry experts from Kontron discusses how HPEC systems that le
verage the latest Intel technology can enable deep learning in SIGINT\, im
age processing\, and other defense applications. |
Speaker
: Mark Littlefield\, Vertical Product Manager\, Defense\, K
ontron Dr. Mohamed Bergach\, System/Software Architect\, Kontron
Moderator: \; John
McHale\, OpenSystems Media | |  \;
CATEGORIES:Education
UID:20161201T1642030Z-511394-1153@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170525T160000
DTEND;TZID=America/Sao_Paulo:20170525T170000
SUMMARY:Cloud ERP Streamlines Operations in an Era of IIoT Data
DESCRIPTION:How will manufacturers absorb and manage the huge amounts of in
dustrial plant-floor data made available by IIoT sensor and connectivity a
dvances? Cloud-based ERP can play a big role in leveraging real-time data
in the manufacturing enterprise\, making it easier to interpret and act on
data\, providing manufacturing intelligence from the shop floor to the to
p floor. What you will learn: 1. What is cloud computing and what role
does in play in industrial enterprise systems? 2. What are the challenges
and benefits of exploiting cloud modalities? 3. What best practices help
ensure success in cloud-based enterprise systems? Speakers: John Preid
itsch\, President\, Six S Partners Inc. has over 30 years of ERP sales\, i
mplementation and consulting experience. He has held leading roles in nort
h American ERP organizations (both software authors and partner channel) p
rior to Founding Six S Partners Inc. 10 years ago. Six S Partners has grow
n into Global partner of the year for Epicor for the last two years and pa
rtner of the Americas for four years prior to that. Jonathan Gross\, LL.
B.\, M.B.A.\, Managing Director\, Pemeco Consulting leads the firms Digita
l Transformation Assessment and Vendor Selection practice areas. Jonathan
leads projects for Fortune-500\, Fortune-1000\, mid-sized and high-growth
clients. He has published dozens of articles and has been cited by Credit-
Suisse\, IBM\, Ernst & Young\, and Cap Gemini\, among many others. Jonatha
n has also taught an upper-level Systems Analysis and Design course at Can
ada’s #1-ranked MBA school. Moderator: Kevin Parker\, Senior Contributin
g Editor & Moderator\, CFE Media Click here to register for Part Two: Clo
ud ERP Streamlines Operations in an Era of IIoT Data Click here to learn m
ore and register for the complete 2017 ERP Webcast series. We look forwa
rd to seeing you on May 25!
X-ALT-DESC;FMTTYPE=text/html: How will ma
nufacturers absorb and manage the huge amounts of industrial plant-floor d
ata made available by IIoT sensor and connectivity advances? Cloud-based E
RP can play a big role in leveraging real-time data in the manufacturing e
nterprise\, making it easier to interpret and act on data\, providing manu
facturing intelligence from the shop floor to the top floor.  \; What you will learn:  \; 1. What is cl
oud computing and what role does in play in industrial enterprise systems?
2. What are the challenges and
benefits of exploiting cloud modalities? 3. What best practices help ensure success in cloud-based enterpr
ise systems?  \; Speakers:  \; John Preiditsch\, President\, Six S Partners Inc. has o
ver 30 years of ERP sales\, implementation and consulting experience. He h
as held leading roles in north American ERP organizations (both software a
uthors and partner channel) prior to Founding Six S Partners Inc. 10 years
ago. Six S Partners has grown into Global partner of the year for Epicor
for the last two years and partner of the Americas for four years prior to
that.  \; Jonathan Gross\, LL.B.\, M.B.A.\
, Managing Director\, Pemeco Consulting leads the firms Digital Transforma
tion Assessment and Vendor Selection practice areas. Jonathan leads projec
ts for Fortune-500\, Fortune-1000\, mid-sized and high-growth clients. He
has published dozens of articles and has been cited by Credit-Suisse\, IBM
\, Ernst &\; Young\, and Cap Gemini\, among many others. Jonathan has a
lso taught an upper-level Systems Analysis and Design course at Canada&rsq
uo\;s #1-ranked MBA school. &nbs
p\; Moderator:&
nbsp\;Kevin Parker\, Senior Contributing Editor &\; Moderator\, CFE Med
ia \; Click
here to register for Part Two: \;Cloud ERP Strea
mlines Operations in an Era of IIoT Data  \; We look forward to seeing you on May 25!
CATEGORIES:Education
UID:20170513T1954220Z-511394-1381@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180215T170000
DTEND;TZID=America/Sao_Paulo:20180215T180000
SUMMARY:Seize the opportunity: learn what the Navy needs to build large/ext
ra-large UUVs
DESCRIPTION:Join free webcast and Q&A on: U.S. Navy's capability gaps that
could be addressed by unmanned undersea vehicles (especially large and ext
ra-large UUVs)\, current Navy UUV programs of record and S&T programs\, cr
itical enabling technologies and capabilities needed from industry\, and t
he likely trajectory for larger UUVs. REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html:Join free webcast and Q&\;A on: U.S. Nav
y's capability gaps that could be addressed by unmanned undersea vehicles
(especially large and extra-large UUVs)\, current Navy UUV programs of rec
ord and S&\;T programs\, critical enabling technologies and capabilitie
s needed from industry\, and the likely trajectory for larger UUVs. <
table class='m_1729892732776101829responsive-table' style='width: 170px\;
background-color: #1c1819\; border-radius: 4px\; border-bottom: 2px solid
#1c1819\;' border='0' summary='' cellspacing='0' cellpadding='0'>
| REGISTER HERE! |  \;
CATEGORIES:Education
UID:20180203T1852570Z-511394-1676@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180221T140000
DTEND;TZID=America/Sao_Paulo:20180221T150000
SUMMARY:Enabling Open Architectures and Commonality in Military Systems
DESCRIPTION: A demand for commonality is driving procurement and technol
ogy development within the Department of Defense (DoD). Commonality is ena
bled by open architectures and technology reuse across multiple platforms
-- from radar to electronic warfare to ISR sensors. The cost benefits of c
ommonality happen not only on the front end with procurement\, but also on
the back end with supportability. This webcast of industry experts will c
over how various DoD open architecture initiatives are working together to
shorten fielding times\, lower life cycle costs\, leverage economies of s
cale\, and promote reuse. Speakers: Michael Hackert\, Expert Speaker fro
m the Naval Air Systems Command (NAVAIR) Jerry Gipper\, Executive Director
\, VITA Moderator: John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; A demand for commonality is driving procurement and tech
nology development within the Department of Defense (DoD). Commonality is
enabled by open architectures and technology reuse across multiple platfor
ms -- from radar to electronic warfare to ISR sensors. The cost benefits o
f commonality happen not only on the front end with procurement\, but also
on the back end with supportability. This webcast of industry exp
erts will cover how various DoD open architecture initiatives are working
together to shorten fielding times\, lower life cycle costs\, leverage eco
nomies of scale\, and promote reuse.  \; | Speakers: Michael Hackert\, Exper
t Speaker from the Naval Air Systems Command (NAVAIR) Jerry Gipper\,
Executive Director\, VITA Modera
tor: \; John McHale\, OpenSystems Media |
|
CATEGORIES:Education
UID:20180203T1854280Z-511394-1677@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180206T153000
DTEND;TZID=America/Sao_Paulo:20180206T163000
SUMMARY:Are Autonomous Vehicles Safe? Understanding What’s Already Here and
What Needs to Happen
DESCRIPTION: More information and to register: Background The seminar wi
ll cover recently concluded and ongoing projects related to safety and reg
ulatory concerns for the expanding market of Autonomous Vehicles (AVs). Th
e work presented has been conducted within the past year at the RiSA2S Res
earch Center of San José State University\, a multi-disciplinary innovatio
n center for the Risk and Safety Assessment of Autonomous Systems. The act
ivities of the lab are aimed at improving safety and better informing regu
latory agencies in their recommendations for the certification process of
autonomous systems. In particular\, the seminar will delve into three topi
cs of current interest:
X-ALT-DESC;FMTTYPE=text/html: \; More information and to \;register: Background  \; The seminar will cover r
ecently concluded and ongoing projects related to safety and regulatory co
ncerns for the expanding market of Autonomous Vehicles (AVs). The work pre
sented has been conducted within the past year at the RiSA2S Research Cent
er of San José\; State University\, a multi-disciplinary innovation
center for the Risk and Safety Assessment of Autonomous Systems. The activ
ities of the lab are aimed at improving safety and better informing regula
tory agencies in their recommendations for the certification process of au
tonomous systems. In particular\, the seminar will delve into three topics
of current interest: \;
 \;
p>  \;
CATEGORIES:Education
UID:20180203T1900290Z-511394-1679@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180208T140000
DTEND;TZID=America/Sao_Paulo:20180208T150000
SUMMARY:The state-of-the-art in image acquisition and processing
DESCRIPTION:As 'state-of-the-art ' is a constantly evolving target\, please
join us to learn the latest in image acquisition and processing technique
s to guarantee your solutions are benefitting from the latest technologies
and techniques that provide robustness\, determinism and efficiency in bo
th lowered CPU load and price/performance ratio. What You'll Learn: • How
to achieve deterministic and repeatable image acquisition performance • Tr
ansitioning performance from host-based to embedded imaging devices • How
to minimize development times to achieve faster time-to-market and ROI • H
ow to dramatically reduce CPU load for their image acquisition and process
ing REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html:As 'state-of-the-art ' is a constantly evol
ving target\, please join us to learn the latest in image acquisition and
processing techniques to guarantee your solutions are benefitting from the
latest technologies and techniques that provide robustness\, determinism
and efficiency in both lowered CPU load and price/performance ratio.
What You'll Learn: &bull\; | How to achieve deterministic
and repeatable image acquisition performance | &bull\; | Transi
tioning performance from host-based to embedded imaging devices | &bull\; | How to minimize development times to achieve faster time-to-m
arket and ROI | &bull\; | How to dramatically reduce CPU load for their image acquisition and
processing |
CATEGORIES:Education
UID:20180203T1903060Z-511394-1680@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180214T140000
DTEND;TZID=America/Sao_Paulo:20180214T150000
SUMMARY:How to choose the right camera or smart camera for your vision syst
em
DESCRIPTION:When it comes to choosing the correct industrial camera or smar
t camera for your imaging needs\, understanding camera and optic specifica
tions are crucial. In a free webcast on February 14\, Perry West will expl
ain these specifications while also discussing factors such as resolution\
, frame rate\, pixel count\, field of view\, as well as provide an overvie
w of the types of cameras that are currently available What You'll Learn:
• How the correct choice of lenses and cameras can affect system performan
ce • Camera specifications such as resolution\, pixel count\, field of vie
w and S/N ratio and options available to you • The different types of inte
rfaces currently available\, and why these matter REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html:When it comes to choosing the correct indus
trial camera or smart camera for your imaging needs\, understanding camera
and optic specifications are crucial. In a free webcast on February
14\, Perry West will explain these specifications while also discussing f
actors such as resolution\, frame rate\, pixel count\, field of view\, as
well as provide an overview of the types of cameras that are currently ava
ilable What You'll Learn: &bull\; | How the correct c
hoice of lenses and cameras can affect system performance &bull\; | Camera specifications such as resolution\, pixel count\, field of v
iew and S/N ratio and options available to you | &bull\; | The different types of interfaces currently available\, and why these mat
ter | | <
p style='width: 29px\; margin: 3px 0px 2px 0px\;'> |
REGISTER HERE! | <
/tr>
CATEGORIES:Education
UID:20180203T1905150Z-511394-1681@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180207T160000
DTEND;TZID=America/Sao_Paulo:20180207T170000
SUMMARY:Enhance Home and Building Automation with Multiprotocol Wireless Co
nnectivity
DESCRIPTION: Smart lighting has experienced notable success in home and bu
ilding automation thanks to a wide selection of application-specific wirel
ess protocols. In this webinar\, Øyvind Borgan\, Strategic Marketing Manag
er at Silicon Labs\, will discuss the wireless technologies that best serv
e smart lighting\, home and building automation\, and answer your question
s on the subject. Attend this webinar to learn: key considerations related
to multiprotocol implementation benefits of enabling simultaneous operati
on of Zigbee and Bluetooth low energy (LE) on a single device advanced fun
ctionality including beaconing and smartphone direct control Register Now
X-ALT-DESC;FMTTYPE=text/html:  \; Smart lighting has experience
d notable success in home and building automation thanks to a wide selecti
on of application-specific wireless protocols. In this webinar\, Ø\;
yvind Borgan\, Strategic Marketing Manager at Silicon Labs\, will discuss
the wireless technologies that best serve smart lighting\, home and buildi
ng automation\, and answer your questions on the subject. Att
end this webinar to learn: -
key considerations related to multiprotocol implemen
tation -
benefits of enabling simultaneous operation of
Zigbee and Bluetooth low energy (LE) on a single device -
advanced functionality including beaconing and smartphone direct control
 \;
CATEGORIES:Education
UID:20180203T1911200Z-511394-1682@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170303T160000
DTEND;TZID=America/Sao_Paulo:20170303T170000
SUMMARY:Ensuring That Defense Communications Thrive in Complex Environments
DESCRIPTION:Today’s crowded electromagnetic spectrum\, especially between h
igh frequencies and about 6 GHz\, is a major impediment to operators of de
fense communication systems. This issue is compounded by the need to conti
nuously fend off jamming attempts by adversaries. Building equipment able
to withstand these challenges is very difficult in a single country and th
us complicated by the military’s global footprint. This webinar describes
the challenges to the military posed by today’s complex signal environment
s\, the steps the DoD is taking to address them\, and processes used to ev
aluate defense communications system performance under real-world conditio
ns. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Today&rsquo\;s crowde
d electromagnetic spectrum\, especially between \;high frequencies and
about 6 GHz\, is a major impediment to operators of defense communication
systems. This issue is compounded by the need to continuously fend off ja
mming attempts by adversaries. Building equipment able to withstand these
challenges is very difficult in a single country and thus complicated by t
he military&rsquo\;s global footprint. This webinar describes the challeng
es to the military posed by today&rsquo\;s complex signal environments\, t
he steps the DoD is taking to address them\, and processes used to evaluat
e defense communications system performance under real-world conditions.
span> REGISTER
CATEGORIES:General
UID:20170303T1915550Z-511394-1242@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170316T130000
DTEND;TZID=America/Sao_Paulo:20170316T140000
SUMMARY:Os primeiros passos com Linux Embarcado para arquitetura ARM – Part
e 1: Iniciando com Linux
DESCRIPTION:Registre-se gratuitamente para nosso webinar 'Os primeiros pass
os com Linux Embarcado para arquitetura ARM – Parte 1: Iniciando com Linux
'. Linux se consagrou nos últimos anos como o sistema operacional mais uti
lizado em sistemas embarcados. Essa posição é justificada pela sua versati
lidade\, por ser OpenSource e colaborativo\, pela enorme comunidade de des
envolvedores e por sua possibilidade de ser configurado e utilizado em dif
erentes arquiteturas de processadores. O aprendizado de como configurar e
utilizar este OS é uma habilidade fundamental para o desenvolvimento de pl
ataformas embarcadas seguras e de qualidade\, entretanto o aprendizado de
tal tecnologia se mostra por vezes oneroso e demorado. O objetivo desse We
binar é acelerar o aprendizado\, apresentar e discutir princípios básicos
para iniciar um projeto com Linux Embarcado. A ideia é\, por meio de um ba
te papo\, explicar de maneira clara as dúvidas recorrentes nesse universo
assim como demonstrar alguns procedimentos na prática e ao vivo para os at
endentes. O Webinar será realizado em duas partes\, na primeira parte
você vai ver: Que vantagens o uso de Linux Embarcado pode trazer para o se
u produto? Estrutura básica do Linux Embarcado (U-Boot\, kernel e sistema
de arquivo e device tree) Build System (Yocto/OpenEmbedded/buildroot) como
começar? Compilando apenas o Kernel ou U-Boot Imagem padrão Toradex Como
instalar uma imagem usando o próprio U-Boot Como instalar a imagem quando
não tenho U-Boot (Recovery Mode) Nota: Ao final do webinar haverá tempo pa
ra perguntas e respostas Saudações\, Time da Toradex
X-ALT-DESC;FMTTYPE=text/html: Registre-se gratuitamente para
nosso webinar 'Os primeiros passos com Linux
Embarcado para arquitetura ARM &ndash\; Parte 1: Iniciando com Linux'
. Linux s
e consagrou nos ú\;ltimos anos como o sistema operacional mais utili
zado em sistemas embarcados. Essa posiç\;ã\;o é\; justif
icada pela sua versatilidade\, por ser OpenSource e colaborativo\, pela en
orme comunidade de desenvolvedores e por sua possibilidade de ser configur
ado e utilizado em diferentes arquiteturas de processadores. O aprendizado
de como configurar e utilizar este OS é\; uma habilidade fundamenta
l para o desenvolvimento de plataformas embarcadas seguras e de qualidade\
, entretanto o aprendizado de tal tecnologia se mostra por vezes oneroso e
demorado. O objetivo desse Webinar é\; acelerar o apre
ndizado\, apresentar e discutir princí\;pios bá\;sicos para in
iciar um projeto com Linux Embarcado. A ideia é\;\, por meio de um b
ate papo\, explicar de maneira clara as dú\;vidas recorrentes
nesse universo assim como demonstrar alguns procedimentos na prá\;t
ica e ao vivo para os atendentes.  \; |  \; | O Webinar será\;
realizado em duas partes\, na primeira parte você\; vai ver: |
tr> - Que vantagens o uso de Linux Embarcado
pode trazer para o seu produto?
- Estrutura bá\;sica do Linu
x Embarcado (U-Boot\, kernel e sistema de arquivo e device tree)
- Build System (Yocto/OpenEmbedded/buildroot) como começ\;ar?
<
li>Compilando apenas o Kernel ou U-Boot - Imagem padrã\;o To
radex
- Como instalar uma imagem usando o pró\;prio U-Boot
li>
- Como instalar a imagem quando nã\;o tenho U-Boot (Recovery
Mode)
| Nota: Ao final do webinar haver&aa
cute\; tempo para perguntas e respostas |  \; | Saudaç\;&otild
e\;es\, \; Time da Toradex |  \;
CATEGORIES:Education
UID:20170303T1911530Z-511394-1240@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170405T160000
DTEND;TZID=America/Sao_Paulo:20170405T170000
SUMMARY:What’s New in QNX® SDP 7.0?
DESCRIPTION: With QNX Software Development Platform 7.0. (QNX SDP 7.0)\,
BlackBerry QNX is launching its most advanced and secure embedded softwar
e platform. Join us as we examine market forces and industry challenges in
the automotive sector that drive technology today and describe how BlackB
erry QNX addresses them. This will be followed by a preview of the advance
s in performance\, security and safety provided by QNX SDP 7.0 that make t
his an ideal solution for the next generation of connected and automated v
ehicles. Speaker: Leo Forget\, Project Manager\, BlackBerry QNX John Wal
l\, Senior Vice President and Head of BlackBerry QNX Moderator: Brandon L
ewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | |  \; With QNX Software Development Platform 7.0. (QNX SDP 7.0)\, BlackBer
ry QNX is launching its most advanced and secure embedded software platfor
m. Join us as we examine market forces and industry challenges in the auto
motive sector that drive technology today and describe how BlackBerry QNX
addresses them. This will be followed by a preview of the advances in perf
ormance\, security and safety provided by QNX SDP 7.0 that make this an id
eal solution for the next generation of connected and automated vehicles.<
/p> &nb
sp\; | Speaker: Leo Forget\, Project Manager\, Bl
ackBerry QNX John Wall\, Senior Vice President and Head of BlackBerry
QNX Moderator: \; Brandon Lewis\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20170303T1913370Z-511394-1241@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170308T150000
DTEND;TZID=America/Sao_Paulo:20170308T160000
SUMMARY:Test with Data Analytics to Enable Faster Time to Market
DESCRIPTION:Why this Webcast is Important: R&D designers perform measuremen
ts to conclude if their designs meet pass limits set by the high speed Sta
ndard committee or the company’s standard design guidelines. This webcast
will discuss how Keysight’s new Data Analytics software capability address
es the needs of designers and their managers to be able to analyze their t
est results more quickly and in an intuitive manner. Attendees will learn
how Keysight’s Data Analytics software will enable them to make faster dec
isions and reduce time to market of their products.
X-ALT-DESC;FMTTYPE=text/html: Why this Webcast is Importa
nt: R&\;D designers perform measurements to conclude if
their designs meet pass limits set by the high speed Standard committee or
the company&rsquo\;s standard design guidelines. This webcast will discus
s how Keysight&rsquo\;s new Data Analytics software capability addresses t
he needs of designers and their managers to be able to analyze their test
results more quickly and in an intuitive manner. Attendees will learn how
Keysight&rsquo\;s Data Analytics software will enable them to make faster
decisions and reduce time to market of their products.
 \;  \;
CATEGORIES:Education
UID:20170303T1919110Z-511394-1243@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170712T150000
DTEND;TZID=America/Sao_Paulo:20170712T160000
SUMMARY:Requirements Engineering for Safety-Critical Systems
DESCRIPTION:In safety-critical projects\, Requirements Engineering is often
perceived as a 'necessary evil' rather than active support in the develop
ment process. Challenges arise between the writing of requirements\, categ
ories and levels of abstraction\, and the high degree of freedom in Functi
onal Safety Standards\, and the practical benefits of effective requiremen
ts engineering are lost. Please join us as Martin Heininger\, global requi
rements engineering and safety-critical systems expert\, discusses the cha
llenges of creating proper System and Software requirements and shares the
practical benefits of Requirements Engineering. In this Webinar\, you wil
l learn: How to Identify\, manage\, and solve problems earlier and easier
Enable transparency through traceability\, effective impact-analysis\, and
regression verification strategies How to derive Software requirements fr
om System requirements And how all project stakeholders can come to agreem
ents based on a shared technical understanding. Register Now →
X-ALT-DESC;FMTTYPE=text/html: In safety-critical projects\, Requirements
Engineering is often perceived as a 'necessary evil' rather than active su
pport in the development process. Challenges arise between the writing of
requirements\, categories and levels of abstraction\, and the high degree
of freedom in Functional Safety Standards\, and the practical benefits of
effective requirements engineering are lost.
Please join us a
s Martin Heininger\, global requirements engineering and safety-critical s
ystems expert\, discusses the challenges of creating proper System and Sof
tware requirements and shares the practical benefits of Requirements Engin
eering.
In this Webinar\, you will learn:
<
ul> How to Identify\, manage\, and solve problems earlier and easier<
/li> Enable transparency through traceability\, effective impact-anal
ysis\, and regression verification strategies How to derive Soft
ware requirements from System requirements And how all project s
takeholders can come to agreements based on a shared technical understandi
ng. |
 \; |  \;
CATEGORIES:Education
UID:20170620T1822200Z-511394-1450@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180213T120000
DTEND;TZID=America/Sao_Paulo:20180213T130000
SUMMARY:The Many Faces of LPWAN
DESCRIPTION: IoT certainly has its challenges – from choosing the right de
vice\, to adding connectivity\, and to managing devices and the data they
generate. Low-power wide area network (LPWAN) technology solves many of th
ese challenges\, but it can be confusing. Until recently\, most M2M and In
ternet of Things (IoT) applications have relied on high-speed cellular and
wired networks for wide area connectivity. Although there are a number o
f IoT applications that will continue to require high-bandwidth\, many oth
ers would be better suited for LPWAN. LPWAN not only complements tradition
al cellular networks\, but it also unlocks new market opportunities by red
ucing costs and increasing the flexibility of solution deployments. Howeve
r\, LPWAN requires criteria to make good decisions: LoRa\, LTE Cat-M1\, Si
gfox\, NB-IoT\, licensed and unlicensed\, public and private networks – ho
w do you make sense of the LPWAN landscape and determine what is right for
your needs? Join Derek Wallace – Director of Product Management at MultiT
ech –to learn more about LPWAN technology and what applications for unlice
nsed and licensed spectrums are ideal. Register Today!
X-ALT-DESC;FMTTYPE=text/html: \; IoT certainly has its cha
llenges &ndash\; from choosing the right device\, to adding connectivity\,
and to managing devices and the data they generate. Low-power wide area n
etwork (LPWAN) technology solves many of these challenges\, but it can be
confusing. Until recently\, most M2M and Internet of Thin
gs (IoT) applications have relied on high-speed cellular and wired network
s for wide area connectivity. \; Although there are a number of IoT ap
plications that will continue to require high-bandwidth\, many others woul
d be better suited for LPWAN. LPWAN not only complements traditional cellu
lar networks\, but it also unlocks new market opportunities by reducing co
sts and increasing the flexibility of solution deployments. However\, LPWA
N requires criteria to make good decisions: LoRa\, LTE Cat-M1\, Sigfox\, N
B-IoT\, licensed and unlicensed\, public and private networks &ndash\; how
do you make sense of the LPWAN landscape and determine what is right for
your needs? Join Derek Wallace &ndash\; Director of Produ
ct Management at MultiTech &ndash\;to learn more about LPWAN technology an
d what applications for unlicensed and licensed spectrums are ideal. \
; \; Register Today!
CATEGORIES:Education
UID:20180206T1909470Z-511394-1684@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180214T170000
DTEND;TZID=America/Sao_Paulo:20180214T180000
SUMMARY:The Many Faces of LPWAN
DESCRIPTION: IoT certainly has its challenges – from choosing the right de
vice\, to adding connectivity\, and to managing devices and the data they
generate. Low-power wide area network (LPWAN) technology solves many of th
ese challenges\, but it can be confusing. Until recently\, most M2M and In
ternet of Things (IoT) applications have relied on high-speed cellular and
wired networks for wide area connectivity. Although there are a number o
f IoT applications that will continue to require high-bandwidth\, many oth
ers would be better suited for LPWAN. LPWAN not only complements tradition
al cellular networks\, but it also unlocks new market opportunities by red
ucing costs and increasing the flexibility of solution deployments. Howeve
r\, LPWAN requires criteria to make good decisions: LoRa\, LTE Cat-M1\, Si
gfox\, NB-IoT\, licensed and unlicensed\, public and private networks – ho
w do you make sense of the LPWAN landscape and determine what is right for
your needs? Join Derek Wallace – Director of Product Management at MultiT
ech –to learn more about LPWAN technology and what applications for unlice
nsed and licensed spectrums are ideal. Register Today!
X-ALT-DESC;FMTTYPE=text/html: \; IoT certainly has its cha
llenges &ndash\; from choosing the right device\, to adding connectivity\,
and to managing devices and the data they generate. Low-power wide area n
etwork (LPWAN) technology solves many of these challenges\, but it can be
confusing. Until recently\, most M2M and Internet of Thin
gs (IoT) applications have relied on high-speed cellular and wired network
s for wide area connectivity. \; Although there are a number of IoT ap
plications that will continue to require high-bandwidth\, many others woul
d be better suited for LPWAN. LPWAN not only complements traditional cellu
lar networks\, but it also unlocks new market opportunities by reducing co
sts and increasing the flexibility of solution deployments. However\, LPWA
N requires criteria to make good decisions: LoRa\, LTE Cat-M1\, Sigfox\, N
B-IoT\, licensed and unlicensed\, public and private networks &ndash\; how
do you make sense of the LPWAN landscape and determine what is right for
your needs? Join Derek Wallace &ndash\; Director of Produ
ct Management at MultiTech &ndash\;to learn more about LPWAN technology an
d what applications for unlicensed and licensed spectrums are ideal. \
; \; Register Today!
CATEGORIES:Education
UID:20180206T1910010Z-511394-1685@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180220T160000
DTEND;TZID=America/Sao_Paulo:20180220T170000
SUMMARY: Altium Designer® 18 High Performance Made Simple
DESCRIPTION:Join us to see what makes Altium Designer® 18 the only Easy\, P
owerful and Modern PCB design solution on the market\, including: The mo
st cohesive\, user-friendly interface that will enable you to achieve prod
uctivity right “out of the box.” 64-bit and multi-threaded task optimizati
ons that will allow you to design and release large\, complex boards - qui
ckly and with ease. One single design environment for schematic\, board la
yout\, and manufacturing outputs. Demo will showcase the following key t
opics: Interconnected Multi-Board Assembly User-guided Routing with Active
Route® Seamless Documentation Process with OutJobs\, Draftsman® and ACTIVE
BOM® Visual Power Analysis with PDN Analyzer™ Contact the team Our d
edicated team is here to help you. You can reach us anytime by phone at 76
0 231 0760 or email us at sales.na@altium.com and a team member will get b
ack to you right away. Many Thanks\, Your Altium Team Register
X-ALT-DESC;FMTTYPE=text/html:Join us to see
what makes Altium Designer®\; 18 the only Easy\, Powerful and Modern PC
B design solution on the market\, including:  \; <
div id='TextSection2Bullets' class='mktEditable'> - The most cohesive\, user-friendly i
nterface that will enable you to achieve productivity right &ldquo\;out of
the box.&rdquo\;
- 64-bit and multi-threaded task optimizations t
hat will allow you to design and release large\, complex boards - quickly
and with ease.
- One single design environment for schematic\, boa
rd layout\, and manufacturing outputs.
 \; Demo will showc
ase the following key topics: - Interconnected Multi-Board Assembly
- User-guided Routing with ActiveRoute®\;
- Seamless Document
ation Process with OutJobs\, Draftsman®\; and ACTIVEBOM®\;
- Visual Power Analysis with PDN Analyzer&trade\;
&
nbsp\;
 \
; | Contact the team |  \; |
Our dedicated team is here to help you.
You can reach us anytime by phone at 760 231 0760 or email us at sales.na@altium.com and a team member will get back
to you right away. Many Thanks\, Your Altium Tea
m |  \; | |
|  \;Register
CATEGORIES:Education
UID:20180206T1913420Z-511394-1686@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180214T170000
DTEND;TZID=America/Sao_Paulo:20180214T180000
SUMMARY:Ray Optics Simulations
DESCRIPTION:Tune into this webinar to learn about optical ray tracing using
the COMSOL Multiphysics® software and add-on Ray Optics Module. The webin
ar will include a live demo in the COMSOL® software\, showing how to creat
e a fully parameterized geometry of a typical lens system\, trace rays thr
ough the system\, and postprocess the results. We will also discuss more s
pecialized ray features\, such as analysis of ray intensity and polarizati
on. We will explain how the Ray Optics Module can be coupled to other phys
ics interfaces\, such as the Heat Transfer in Solids interface\, to create
high-fidelity coupled multiphysics models. You can ask questions througho
ut the webinar or at the end during the Q&A session. What You'll Learn:
How to set up a fully parameterized system of lenses using the geometry
tools in COMSOL Multiphysics®. How to trace rays through a lens system usi
ng the Ray Optics Module. How to store information about intensity\, polar
ization\, phase\, number of reflections\, and optical path length along ra
ys as part of a simulation. How to couple a ray optics simulation to other
physics interfaces in the COMSOL® product suite. How to use built-in eval
uation tools to analyze and report simulation results. Who Should Attend:
Engineers and researchers who: Design or use devices such as laser focusin
g systems\, spectrometers\, cameras\, and telescopes. Manipulate light wit
h prisms\, lenses\, beam splitters\, or gratings. Design devices to redire
ct and focus solar radiation.
X-ALT-DESC;FMTTYPE=text/html:Tune into this webinar to learn about optical ray traci
ng using the COMSOL Multiphysics®\; software and add-on Ray Optics Modu
le. The webinar will include a live demo in the COMSOL®\; software\, sh
owing how to create a fully parameterized geometry of a typical lens syste
m\, trace rays through the system\, and postprocess the results. We will a
lso discuss more specialized ray features\, such as analysis of ray intens
ity and polarization. We will explain how the Ray Optics Module can be cou
pled to other physics interfaces\, such as the \;Heat Transfer in Soli
ds \;interface\, to create high-fidelity coupled multiphysics models.
You can ask questions throughout the webinar or at the end during the Q&am
p\;A session.  \; W
hat You'll Learn:  \; - How to set up a fully parameterized system of lenses using the g
eometry tools in \;COMSOL Multiphysics®\;.
- How to trace rays through a
lens system using \;the Ray Optics Module.
- How to store information abou
t intensity\, polarization\, phase\, number of reflections\, and optical p
ath length along rays as part of a simulation.
- How to couple a ray optics sim
ulation to other physics interfaces in the COMSOL®\; product suite.
- How to
use built-in evaluation tools to analyze and report simulation results.
span>
Who Should Attend:
Engi
neers and researchers who:
- Design or use devices such as laser focusing systems\, spectro
meters\, cameras\, and telescopes.
- Manipulate light with prisms\
, lenses\, beam splitters\, or gratings.
- Design devices to redir
ect and focus solar radiation.
 \;  \;
CATEGORIES:Education
UID:20180206T1920040Z-511394-1689@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180222T170000
DTEND;TZID=America/Sao_Paulo:20180222T180000
SUMMARY:The New In-Vehicle UI
DESCRIPTION:From active safety to infotainment\, in-vehicle HMIs are now ta
sked with conveying information that keeps occupants both comfortable and
out of harm’s way. However\, the convergence of systems within modern auto
mobiles now sees safety-critical functions often operating alongside non-c
ritical features\, at times even on the same hardware. Furthermore\, the a
mount of data being generated by the connected car can lead to information
overload for drivers\, while active safety technologies can create a fals
e sense of security with potentially tragic consequences. Join automotive
embedded semiconductor and software experts as they navigate the new in-ve
hicle user interface (UI)\, from display layout best practices to non-visu
al alternatives such as audio and haptic feedback. These approaches are co
nsidered within the context of other critical automotive subsystems to del
iver UIs that are safe\, secure\, and deliver the user experience drivers
and passengers expect in next-generation vehicles. Speaker: John Tintinall
i\, Product Group Director\, Automotive and Commercial Vehicles\, SAE Inte
rnational Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
From active safety to infotainment\, in-vehicle HMIs are now task
ed with conveying information that keeps occupants both comfortable and ou
t of harm&rsquo\;s way. However\, the convergence of systems within modern
automobiles now sees safety-critical functions often operating alongside
non-critical features\, at times even on the same hardware. Furthermore\,
the amount of data being generated by the connected car can lead to inform
ation overload for drivers\, while active safety technologies can create a
false sense of security with potentially tragic consequences. Joi
n automotive embedded semiconductor and software experts as they navigate
the new in-vehicle user interface (UI)\, from display layout best practice
s to non-visual alternatives such as audio and haptic feedback. These appr
oaches are considered within the context of other critical automotive subs
ystems to deliver UIs that are safe\, secure\, and deliver the user experi
ence drivers and passengers expect in next-generation vehicles. |
Speaker: John Tintinalli\, Product Group Director\,
Automotive and Commercial Vehicles\, SAE International Moderator: \; Brandon Lewis\, Op
enSystems Media | |  \;
CATEGORIES:Education
UID:20180206T1921200Z-511394-1690@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170518T160000
DTEND;TZID=America/Sao_Paulo:20170518T170000
SUMMARY:Functional Test\, Switching and Data Acquisition
DESCRIPTION: A Free\, Educational Webinar: Thursday\, May 18\, 2017 • 2:
00 p.m. ET SAVE THE DATE: Are you confident in your product and process pe
rformance? Is there a lower cost of test that can more accurately represen
t your test operation’s performance? Measurement integrity and system deve
lopment are challenges that many companies overlook when taking the leap i
nto testing. Join us for a free educational webinar where you'll learn abo
ut: • Cost-effective functional testing. • Switching and data acquisition
techniques. You won’t want to miss this webinar! SIGN UP TODAY!
X-ALT-DESC;FMTTYPE=text/html: \;  \; | A Free\, Educational
Webinar: Thursday\, May 18\, 2017 &bull\; 2:00 p.m. ET | <
p style='font-family: Arial\, Helvetica\, sans-serif\; font-size: 16px\; c
olor: #000000\; line-height: 22px\; font-weight: normal\;'>SAVE THE DATE: Are you confident in your produ
ct and process performance? Is there a lower cost of test that can more ac
curately represent your test operation&rsquo\;s performance? Measurement i
ntegrity and system development are challenges that many companies overloo
k when taking the leap into testing.
Join us for a free educat
ional webinar where you'll learn about: &bull\; |
Cost-effectiv
e functional testing. | &bull\; | Switching and data
acquisition techniques. | You won&rsquo\;t want t
o miss this webinar! |  \;
CATEGORIES:Education
UID:20170420T1726250Z-511394-1340@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180306T170000
DTEND;TZID=America/Sao_Paulo:20180306T180000
SUMMARY:How to Choose the Right Adhesive for your Electronic Application
DESCRIPTION:Join us for a live practical discussion on choosing an adhesive
\, coating or potting compound for challenging electronic applications. Le
arn how to navigate the selection process while keeping in mind service co
nditions\, desired properties and processing constraints. Find out more ab
out electronic device applications that span a wide variety of industries\
, including medical and opto-electronics\, oil and gas\, aerospace and aut
omotive. We will also delve into 6 real life\, published case studies succ
essfully using Master Bond adhesives and the reasons behind choosing those
specific products. The presentation will conclude with a live Q&A. For mo
re information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Join us for a live practical
discussion on choosing an adhesive\, coating or potting compound for chall
enging electronic applications. Learn how to navigate the selection proces
s while keeping in mind service conditions\, desired properties and proces
sing constraints. Find out more about electronic device applications that
span a wide variety of industries\, including medical and opto-electronics
\, oil and gas\, aerospace and automotive. We
will also delve into 6 real life\, published case studies successfully us
ing Master Bond adhesives and the reasons behind choosing those specific p
roducts. The presentation will conclude with a
live Q&\;A. For more information and to register\, click here.
CATEGORIES:Education
UID:20180203T1856540Z-511394-1678@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180227T160000
DTEND;TZID=America/Sao_Paulo:20180227T170000
SUMMARY:What’s in the Latest Design Updates for the OpenVPX architecture?
DESCRIPTION:One of the most collaborative open standards in the embedded co
mputing industry\, OpenVPX is specifically targeted to solutions for deman
ding mission critical systems such as C4ISR\, SIGINT\, radar signal proces
sing and electronic warfare systems. Most recently\, the main defense bran
ches are working collaboratively to increase the use of interoperable\, op
en standards-based platforms for future combat and defense systems\; OpenV
PX is front and center in this work\, with open groups such as SOSA\, HOST
and FACE. What You'll Learn: • The latest evolutions in the OpenVPX stand
ard • The latest trends and applications for OpenVPX • How OpenVPX fits in
to the emerging SOSA\, HOST\, and FACE open-systems standards • Balancing
demands for performance and signal integrity in OpenVPX REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html:One of the most collaborative open standard
s in the embedded computing industry\, OpenVPX is specifically targeted to
solutions for demanding mission critical systems such as C4ISR\, SIGINT\,
radar signal processing and electronic warfare systems. Most recently\, t
he main defense branches are working collaboratively to increase the use o
f interoperable\, open standards-based platforms for future combat and def
ense systems\; OpenVPX is front and center in this work\, with open groups
such as SOSA\, HOST and FACE. W
hat You'll Learn: &bull\; | The latest evolutions in the OpenVPX standard | <
td valign='top'>&bull\; The latest trends and applications for OpenVPX | &bull\; | H
ow OpenVPX fits into the emerging SOSA\, HOST\, and FACE open-systems stan
dards | &bull\; | B
alancing demands for performance and signal integrity in OpenVPX | REGISTER HERE!
CATEGORIES:Education
UID:20180220T1739180Z-511394-1710@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170912T150000
DTEND;TZID=America/Sao_Paulo:20170912T160000
SUMMARY: 3 Factors to Improve EMI Compliance Testing
DESCRIPTION:Description: Understanding EMI/EMC terms\, test techniques and
pitfalls are critical to improving EMI compliance testing. Join Wilson Lee
\, Technical Marketing Manager at Tektronix\, as he discusses: An overview
of testing tools and their differences EMI pre-compliance testing options
\, techniques and tips Focus problem areas for EMI troubleshooting REGISTE
R
X-ALT-DESC;FMTTYPE=text/html:Description: Under
standing EMI/EMC terms\, test techniques and pitfalls are critical to impr
oving EMI compliance testing. Join Wilson Lee\, Technical Marketin
g Manager at Tektronix\, as he discusses: - An overview of te
sting tools and their differences
- EMI pre-compliance testing opt
ions\, techniques and tips
- Focus problem areas for EMI troublesh
ooting
REGISTER
CATEGORIES:Education
UID:20170903T1319490Z-511394-1526@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170824T140000
DTEND;TZID=America/Sao_Paulo:20170824T150000
SUMMARY:Be Prepared for Next Generation MIPI Physical Layer Design and Eval
uation
DESCRIPTION:The MIPI (Mobile Industry Processor Interface) alliance has rel
eased new C-PHY\, D-PHY and M-PHY specifications to address the next gener
ation of the 5G mobile era to include VR(virtual reality)\, AR(augmented r
eality) and autonomous vehicle technology. These physical layer specificat
ions are not only double data rate\, but also add new features and test sp
ecifications. REGISTER
X-ALT-DESC;FMTTYPE=text/html:The MIPI (Mobile Industry Processor Interfa
ce) alliance has released new C-PHY\, D-PHY and M-PHY specifications to ad
dress the next generation of the 5G mobile era to include VR(virtual reali
ty)\, AR(augmented reality) and autonomous vehicle technology. These physi
cal layer specifications are not only double data rate\, but also add new
features and test specifications. REGISTER
CATEGORIES:Education
UID:20170805T2001180Z-511394-1494@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170814T100000
DTEND;TZID=America/Sao_Paulo:20170814T110000
SUMMARY:Webinar | Soluções Weidmüller para Eficiência Energética
DESCRIPTION:É já esta Segunda-feira\, dia 14 de Agosto\, às 10h00 (horário
de Brasília) que o palestrante José De Paula Rodrigues fará mais uma sessã
o no Voltimum. Desta vez\, ele vem apresentar as Soluções Weidmüller para
Eficiência Energética e para participar\, basta que você se registre AQUI.
Como você sabe\, depende de todos nós a adequação à ISO50001 que trata di
retamente de Eficiência Energética\, onde teremos que fazer mais e ser mai
s produtivos com a mesma capacidade hoje utilizada. Otimização e reaprovei
tamento todas as formas energéticas existentes. Especificamente\, neste we
binar\, vamos falar do seguinte: Afinal o que é Eficiência Energética\; Pa
ssos importantes\; Como a WI pode contribuir para projetos de Eficiência E
nergética\; Medição\, Verificação\, Manutenção Remota\; Produto WI com o s
elo de Eficiência Energética. Se registre para participar! Obrigada por fa
zer parte de nossa comunidade!
X-ALT-DESC;FMTTYPE=text/html: É\; já\; esta Segunda-feira\, dia 14 de Ag
osto\, à\;s 10h00 (horá\;rio de Brasí\;lia) que
o palestrante José\; De Paula Rodrigues fará\; mais uma sess&
atilde\;o no Voltimum. Desta vez\, ele vem apresentar as Solu&cced
il\;õ\;es Weidmü\;ller para Eficiê\;ncia Energé\;tica
e para participar\, basta que você\; se registre AQUI.
Como
você\; sabe\, depende de todos nó\;s a adequaç\;ã\;
o à\; ISO50001 que trata diretamente de Eficiê\;ncia Energ&eacu
te\;tica\, onde teremos que fazer mais e ser mais produtivos com a mesma c
apacidade hoje utilizada. Otimizaç\;ã\;o e reaproveitamento to
das as formas energé\;ticas existentes. Especificamente\, neste webi
nar\, vamos falar do seguinte:
- Afinal o que &e
acute\; Eficiê\;ncia Energé\;tica\;
- Passos importante
s\;
- Como a WI pode contribuir para projetos de Eficiê\;ncia
Energé\;tica\;
- Mediç\;ã\;o\, Verificaç\
;ã\;o\, Manutenç\;ã\;o Remota\;
- Produto WI com
o selo de Eficiê\;ncia Energé\;tica.
Se registre para partic
ipar! Obrigada por fazer parte de nossa comunidade!<
/p>
CATEGORIES:Education
UID:20170812T2330180Z-511394-1502@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170824T140000
DTEND;TZID=America/Sao_Paulo:20170824T150000
SUMMARY:Test-Driven Development for Embedded Software
DESCRIPTION:Hello\, Better design\, cleaner code\, and a suite of tests. Te
st-Driven Development (TDD) delivers these benefits for embedded developer
s\, plus a few more perks worth knowing. On Thursday\, August 24th\, join
Jama Software and James Grenning\, author of the book\, 'Test-Driven Devel
opment for Embedded C\,' as we demonstrate how TDD: Adapts to the hostile
environment of Embedded C Cuts down on cycle time Improves software design
Reserve Your Seat
X-ALT-DESC;FMTTYPE=text/html: Hello\, Better design\, clea
ner code\, and a suite of tests. Test-Driven Development (TDD) del
ivers these benefits for embedded developers\, plus a few more perks worth
knowing. On Thursday\, August 24th\, join Jama Software and Jam
es Grenning\, author of the book\, 'Test-Driven Development for Embedded C
\,' as we demonstrate how TDD: - Adapts to the hostile envi
ronment of Embedded C
- Cuts down on cycle time
- Improves
software design
Reserve Your Seat
CATEGORIES:Education
UID:20170818T1650410Z-511394-1513@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170926T150000
DTEND;TZID=America/Sao_Paulo:20170926T160000
SUMMARY:Medical Device and Pharmaceutical Testing Regulatory Updates\, Tren
ds\, and Anticipated Changes including: FDA\, ISO\, USP\, and MDRs - DAY 1
DESCRIPTION:This three-day course will provide engineers and QA/QC/RA manag
ers with an overview of medical device and pharmaceutical industry trends\
, updates\, and anticipated changes. Four major topics will be covered in
three days\, including: Biocompatibility assessments\, Sterilization metho
dologies\, Packaging integrity testing Medical device cleanliness testing.
Register Now
X-ALT-DESC;FMTTYPE=text/html: This three-day course will provide engineer
s and QA/QC/RA managers with an overview of medical device and pharmaceuti
cal industry trends\, updates\, and anticipated changes. Four major topics
will be covered in three days\, including: - Biocompatibilit
y assessments\,
- Sterilization methodologies\,
- Packagin
g integrity testing
- Medical device cleanliness testing.
ul>  \; Register Now
CATEGORIES:Education
UID:20170923T2253000Z-511394-1562@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170913T140000
DTEND;TZID=America/Sao_Paulo:20170913T150000
SUMMARY: Understanding RF and Microwave Analysis Basics
DESCRIPTION:Learn key fundamentals needed to optimize the analysis of RF si
gnals. We will cover different types of basic measurements and the use of
a spectrum analyzer and signal generator. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Learn key fundamentals needed to optimize t
he analysis of RF signals. We will cover different types of basic measurem
ents and the use of a spectrum analyzer and signal generator. REGISTER
p>
CATEGORIES:Education
UID:20170903T1326130Z-511394-1528@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170920T140000
DTEND;TZID=America/Sao_Paulo:20170920T150000
SUMMARY:Oscilloscope Test Automation Tools
DESCRIPTION:Learn how Keysight’s software tools allow you to automate your
oscilloscope tests and how you can leverage them across the entire develop
ment cycle from early breadboard testing\, to design validation\, to manuf
acturing test. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Learn how Keysight&rsquo\;s software tools
allow you to automate your oscilloscope tests and how you can leverage the
m across the entire development cycle from early breadboard testing\, to d
esign validation\, to manufacturing test. REGISTER<
/p>
CATEGORIES:Education
UID:20170903T1329490Z-511394-1529@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180621T110000
DTEND;TZID=America/Sao_Paulo:20180621T120000
SUMMARY:Open Source Technologies Driving Low Power Tracking Applications
DESCRIPTION: The combination of low-power wide-area (LPWA) technologies wi
th cloud connected IoT open source hardware and software platforms is prov
iding a huge stepping stone for developers building tracking devices. With
the commercialization of LTE-M and NB-IoT networks and the wide spread ad
option of mangOH® open hardware\, building mobile asset tracking proof of
concepts (POCs) using cellular networks has never been so cost effective a
nd easy. In this webinar\, you’ll learn about: What to look for when asses
sing LPWA technologies mangOH open hardware device-to-cloud platforms Real
-life examples of mobile asset tracking devices powered by mangOH How to g
et started quickly REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; The combination of low-power wide-area
(LPWA) technologies with cloud connected IoT open source hardware and sof
tware platforms is providing a huge stepping stone for developers building
tracking devices. With the commercialization of LTE-M and NB-IoT networks
and the wide spread adoption of mangOH®\; open hardware\, building mob
ile asset tracking proof of concepts (POCs) using cellular networks has ne
ver been so cost effective and easy. In this webinar\, you&rsquo\;ll learn
about: - What to look for when assessing LPWA technologies
li>
- mangOH open hardware device-to-cloud platforms
- Real-lif
e examples of mobile asset tracking devices powered by mangOH
- Ho
w to get started quickly
CATEGORIES:Education
UID:20180527T2251380Z-511394-1770@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180531T120000
DTEND;TZID=America/Sao_Paulo:20180531T130000
SUMMARY:Next-Generation Military Radar Tech Outlook
DESCRIPTION: REGISTER NOW Designers of next-generation military radar syst
ems look to deliver enhanced capability to track and counter increasingly
sophisticated threats\, all at the lowest cost possible\, while also facto
ring in ease of installation and upgrades. New radar systems that meet the
se requirements leverage size\, weight\, and power (SWaP) features\, multi
-functionality\, and leveraging commercial electronics solutions. This web
cast will discuss these and other tech trends in the military radar world.
X-ALT-DESC;FMTTYPE=text/html: \;
| Designers of next-generation military radar system
s look to deliver enhanced capability to track and counter increasingly so
phisticated threats\, all at the lowest cost possible\, while also factori
ng in ease of installation and upgrades. New radar systems that meet these
requirements leverage size\, weight\, and power (SWaP) features\, multi-f
unctionality\, and leveraging commercial electronics solutions. This webca
st will discuss these and other tech trends in the military radar world.
p> |
CATEGORIES:Education
UID:20180527T2255520Z-511394-1771@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180620T150000
DTEND;TZID=America/Sao_Paulo:20180620T160000
SUMMARY:Affordable Safety Critical Systems: The Basics
DESCRIPTION:As R&D budgets are reduced\, enabling flexibility and code reus
e through the use of common building blocks across the enterprise are keys
to greater affordability. These software components need to be modular\,
upgradeable and customizable to meet the needs of various hardware platfor
ms\, but defining the right software requirements and avoiding hidden cost
s is still challenging. On Wednesday\, June 20\, at 11:00 AM PST\, attend
“Affordable Safety Critical Systems: The Basics” and learn best practices
and insights that have helped program and engineering managers leverage le
ss technology components to extract value across multiple use cases. This
live\, interactive webinar will share some insider tips on: Optimizing cor
porate investment by creating and developing standardized software product
s that can be re-used on multiple platforms and customer environments. Ach
ieving long-term profitability by consolidating different platforms\, and
understanding certification requirements. Enhancing innovation capabilitie
s by using technologies with high Technology Readiness Levels (TRLs). This
presentation will provide guidance to avionics suppliers on the issues th
at must be addressed in order to achieve affordability targets and program
profitability. Speaker: Stephen Olsen\, Wind River Moderator: John McH
ale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
As R&\;D budgets are reduced\, enabling flexibili
ty and code reuse through the use of common building blocks across the ent
erprise are keys to greater affordability. These software components need
to be modular\, upgradeable and customizable to meet the needs of various
hardware platforms\, but defining the right software requirements and avoi
ding hidden costs is still challenging. On Wednesday\, June 20\, a
t 11:00 AM PST\, attend &ldquo\;Affordable Safety Critical Systems: The Ba
sics&rdquo\; and learn best practices and insights that have helped progra
m and engineering managers leverage less technology components to extract
value across multiple use cases. This live\, interactive webinar w
ill share some insider tips on: - Optimizing corporate invest
ment by creating and developing standardized software products that can be
re-used on multiple platforms and customer environments.
- Achiev
ing long-term profitability by consolidating different platforms\, and und
erstanding certification requirements.
- Enhancing innovation capa
bilities by using technologies with high Technology Readiness Levels (TRLs
).
This presentation will provide guidance to avionics suppli
ers on the issues that must be addressed in order to achieve affordability
targets and program profitability.  \; | <
td colspan='2'> Speaker: Stephen Olsen\, Wind Ri
ver Moderator: \; John McHale\, OpenSyst
ems Media |
CATEGORIES:Education
UID:20180527T2302570Z-511394-1773@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200930T140000
DTEND;TZID=America/Sao_Paulo:20200930T150000
SUMMARY:Everything you need to know about Bluetooth LE advertising
DESCRIPTION: To become a Bluetooth LE expert\, advertising is the first
topic a developer should understand. Petter and Haakon will explain the ba
sics of advertising and Advertising Extensions\, before taking a deep dive
into important parameters\, types of advertising\, and the advertising da
ta format. Before the Q&A session at the end\, relevant APIs and examples
in the nRF Connect SDK will be covered\, before we show you how to use the
nRF Sniffer for Bluetooth LE to analyze the advertisements.
X-ALT-DESC;FMTTYPE=text/html: \;  \; To become a
Bluetooth LE expert\, advertising is the first topic a developer should u
nderstand. Petter and Haakon will explain the basics of advertising and Ad
vertising Extensions\, before taking a deep dive into important parameters
\, types of advertising\, and the advertising data format. Before the Q&am
p\;A session at the end\, relevant APIs and examples in the nRF Connect SD
K will be covered\, before we show you how to use the nRF Sniffer for Blue
tooth LE to analyze the advertisements.  \;
CATEGORIES:Education
UID:20200914T1722590Z-511394-2273@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170223T200000
DTEND;TZID=America/Sao_Paulo:20170223T213000
SUMMARY:Desenvolvendo com Linux Embarcado
DESCRIPTION:A adoção do Linux como sistema operacional em dispositivos emba
rcados tem aumentado consideravelmente nos últimos anos por conta do aumen
to da complexidade das aplicações\, diminuição de custo dos microprocessad
ores e do vasto ecossistema de soluções disponíveis pela comunidade atravé
s de licenças open-source. No webinar Desenvolvendo com Linux Embarcado\,
faremos um bate bapo com Sergio Prado (Embedded Labworks) e Cleiton Bueno
(B2Open) \, dois especialistas da área e iremos abordar os seguintes tópic
os: O que é Linux Embarcado? (anatomia básica do sistema\, diferenças entr
e o Linux convencional\, vantagens e desvantagens) Quais os tipos de aplic
ações que podem ser desenvolvidas (headless\, GUI\, networking\, controle
etc) Considerações do uso do Linux em ambientes de sistemas de tempo real
Comparativos entre Distribuições Prontas e Customizadas Comparativo entre
aplicações Bare Metal/RTOS e Linux Embarcado Como migrar de aplicações mic
rocontroladas (bare metal/RTOS) para microprocessadas (Linux embarcado) Qu
ais os cuidados que devem ser tomados com relação a licenças de software a
berto? Aproveite essa opotunidade para participar e interagir com os convi
dados através da seção de perguntas e respostas\, ao final do webinar
Data: 23/fevereiro Hora: 20:00 - 21:30 Inscreva-se no Webinar: Desenvolven
do com Linux Embarcado
X-ALT-DESC;FMTTYPE=text/html: A
adoç\;ã\;o do Linux como sistema operacional em dispositivos e
mbarcados tem aumentado consideravelmente nos ú\;ltimos anos por con
ta do aumento da complexidade das aplicaç\;õ\;es\, diminui&cce
dil\;ã\;o de custo dos microprocessadores e do vasto ecossistema de
soluç\;õ\;es disponí\;veis pela comunidade atravé\
;s de licenç\;as open-source.
No webinar Desenvolvendo com Linux Embarcado\, faremos um bate bapo com Sergio Prado (Embedded Labworks) e Cleiton Bueno (B2Open) \, dois especialistas da á\;rea e iremos abordar os
seguintes tó\;picos: - O que é\; Linux Embarcado?
(anatomia bá\;sica do sistema\, diferenç\;as entre o Linux co
nvencional\, vantagens e desvantagens)
- Quais os tipos de aplica&
ccedil\;õ\;es que podem ser desenvolvidas (headless\, GUI\, networki
ng\, controle etc)
- Consideraç\;õ\;es do uso do Linux
em ambientes de sistemas de tempo real
- Comparativos entre Distr
ibuiç\;õ\;es Prontas e Customizadas
- Comparativo entr
e aplicaç\;õ\;es Bare Metal/RTOS e Linux Embarcado
- C
omo migrar de aplicaç\;õ\;es microcontroladas (bare metal/RTOS
) para microprocessadas (Linux embarcado)
- Quais os cuidados que
devem ser tomados com relaç\;ã\;o a licenç\;as de softwa
re aberto?
Aproveite essa op
otunidade para participar e interagir com os convidados atravé\;s da
seç\;ã\;o de perguntas e respostas\, ao final do webinar
 \;  \; Data: \;23/fevereiro Hora: 20:00 - 21:30 Inscreva-se no Webinar: \;Des
envolvendo com Linux Embarcado
CATEGORIES:Education
UID:20170215T1619560Z-511394-1221@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180606T140000
DTEND;TZID=America/Sao_Paulo:20180606T150000
SUMMARY:Multiprocessamento heterogêneo com Android no NXP i.MX7
DESCRIPTION: Cadastre gratuitamente para nosso webinar convidado'Mult
iprocessamento heterogêneo com Android no NXP i.MX7'. . Sistemas multiproc
essados assimétricos (AMP) preenchem os requisitos de alto desempenho e te
mpo real combinando a responsividade de um microcontrolador (Cortex-M) com
o poder de processamento de um processador de aplicações\, que roda um SO
completo. Este webinar apresentará uma visão técnica geral sobre multipr
ocessamento assimétrico e sua implementação em um sistema em módulo Colibr
i com i.MX7 da NXP rodando Android no MPU (Cortex-A\, núcleo principal) e
FreeRTOS no MCU (Cortex-M\, núcleo de tempo real). O MCU (Cortex-M) estará
amostrando dados de uma placa com sensor inercial e enviando para o núcle
o principal utilizando a comunicação por RPMsg provida pelo kernel do Andr
oid. Ambos os clientes headless (app nativo) e headful (app Android com GU
I) foram desenvolvidos lançando mão\, respectivamente\, do Android NDK e J
NI. Tópicos principais: Entenda conceitos de Multicore Assíncrono Aprenda
o que é preciso para portar o kernel do Android e o driver do RPMsg para o
Colibri iMX7 Veja como configurar e programar o núcleo microcontrolador C
ortex-M4 Experiencie um demo hands-on juntando Android\, FreeRTOS\, RPMsg\
, IPC\, Java\, JNI Participe da sessão de perguntas e respostas ao vivo pa
ra ter suas dúvidas respondidas pelos nossos experts. CADASTRE-SE
X-ALT-DESC;FMTTYPE=text/html: \; | <
table border='0' width='100%' cellspacing='0' cellpadding='0'> | <
tr>  \; |
 \;  \;Cadastre gratuitamente para nosso webi
nar convidado'Multiprocessamen
to heterogê\;neo com Android no NXP i.MX7'. . Sistemas m
ultiprocessados assimé\;tricos (AMP) preenchem os requisitos de alto
desempenho e tempo real combinando a responsividade de um microcontrolado
r (Cortex-M) com o poder de processamento de um processador de aplica&cced
il\;õ\;es\, que roda um SO completo. \;
Este webinar
apresentará\; uma visã\;o té\;cnica geral sobre multipro
cessamento assimé\;trico e sua implementaç\;ã\;o em um s
istema em mó\;dulo Colibri com i.MX7 da NXP rodando Android no MPU (
Cortex-A\, nú\;cleo principal) e FreeRTOS no MCU (Cortex-M\, n&uacut
e\;cleo de tempo real).
O MCU (Cortex-M) estará\; amostra
ndo dados de uma placa com sensor inercial e enviando para o nú\;cle
o principal utilizando a comunicaç\;ã\;o por RPMsg provida pel
o kernel do Android. Ambos os clientes headless (app nativo) e headful (ap
p Android com GUI) foram desenvolvidos lanç\;ando mã\;o\, resp
ectivamente\, do Android NDK e JNI. Tó\;picos principais: - Entenda conceitos de Multicore Assí\;ncrono
- A
prenda o que é\; preciso para portar o kernel do Android e o driver
do RPMsg para o Colibri iMX7
- Veja como configurar e programar o
nú\;cleo microcontrolador Cortex-M4
- Experiencie um demo ha
nds-on juntando Android\, FreeRTOS\, RPMsg\, IPC\, Java\, JNI
Participe da sessã\;o de perguntas e respostas ao vivo para ter
suas dú\;vidas respondidas pelos nossos experts. CADASTRE-SE
CATEGORIES:Education
UID:20180527T2341410Z-511394-1785@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180516T140000
DTEND;TZID=America/Sao_Paulo:20180516T150000
SUMMARY:Phase Noise Measurement Fundamentals
DESCRIPTION:Why this Webcast is Important: This webcast will explain the fu
ndamentals of phase noise measurements. We will discuss what phase noise i
s and its impact on the performance of receivers and systems being designe
d. We’ll describe the role of the phase detector as the heart of the measu
rement and compare and examine phase noise measurement techniques\, along
with the importance of measuring AM Noise and the benefits of cross correl
ation. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Why this Webcast is Important: This webcast will explain the fundamentals of phase noise measur
ements. We will discuss what phase noise is and its impact on the performa
nce of receivers and systems being designed. We&rsquo\;ll describe the rol
e of the phase detector as the heart of the measurement and compare and ex
amine phase noise measurement techniques\, along with the importance of me
asuring AM Noise and the benefits of cross correlation. REGISTE
R
CATEGORIES:Education
UID:20180329T1756010Z-511394-1745@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180613T140000
DTEND;TZID=America/Sao_Paulo:20180613T150000
SUMMARY:Power Integrity – Troubleshooting Techniques to Identify and Solve
Power Integrity Problems
DESCRIPTION: A product’s functional reliability is directly proportional t
o the quality of the dc power inside that product. In this webcast\, we’ll
share techniques and tools for making accurate power integrity measuremen
ts on the dc supplies in your products. Confident that we can make good po
wer integrity measurements\, we’ll discuss trouble-shooting techniques to
identify and solve power integrity issues. REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; A product&rsquo\;s function
al reliability is directly proportional to the quality of the dc power ins
ide that product. In this webcast\, we&rsquo\;ll share techniques and tool
s for making accurate power integrity measurements on the dc supplies in y
our products. Confident that we can make good power integrity measurements
\, we&rsquo\;ll discuss trouble-shooting techniques to identify and solve
power integrity issues.  \; REGISTER
p>
CATEGORIES:Education
UID:20180329T1759080Z-511394-1746@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180419T120000
DTEND;TZID=America/Sao_Paulo:20180419T130000
SUMMARY:Simplify Power Designs with Micromodule Products
DESCRIPTION:Overview This webcast explains Analog Devices' µModule (micromo
dule) DC/DC power products. They are complete system-in-package (SiP) powe
r management solutions with integrated DC/DC controller\, power transistor
s\, input and output capacitors\, compensation components and inductor wit
hin a compact\, surface mount BGA or LGA package. Who Should Attend People
who have limited switchmode power supply design expertise will discover h
ow easy it is to use a complete power supply that is already built in an e
ncapsulated small package. These µModules are ideal for use in Aerospace\,
Datacom\, Telecom\, Industrial\, Transportation and Medical equipment app
lications. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Overview This webcast explains Analog De
vices' µ\;Module (micromodule) DC/DC power products. They are complet
e system-in-package (SiP) power management solutions with integrated DC/DC
controller\, power transistors\, input and output capacitors\, compensati
on components and inductor within a compact\, surface mount BGA or LGA pac
kage. Who
Should Attend People who have limited switchmode powe
r supply design expertise will discover how easy it is to use a complete p
ower supply that is already built in an encapsulated small package. These
µ\;Modules are ideal for use in Aerospace\, Datacom\, Telecom\, Indus
trial\, Transportation and Medical equipment applications.
CATEGORIES:Education
UID:20180414T1906360Z-511394-1757@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180530T210000
DTEND;TZID=America/Sao_Paulo:20180530T220000
SUMMARY:Thinking about migrating from 8-bit? Wait no longer - LPC80x MCUs a
re your 32-bit answer
DESCRIPTION:The LPC800 series is a 32-bit\, Arm® Cortex®-M0+-based MCU port
folio offering a range of low-power\, space efficient\, low-pin-count opti
ons for basic microcontroller applications. Unique to low-end devices\, th
e LPC800 series MCUs include differentiated product features\, such as an
NFC communication interface\, programmable logical unit (PLU)\, mutual cap
acitive touch\, switch matrix for flexible configuration\, patent-approved
SCTimer/PWM\, and more – including a comprehensive enablement offering to
help you get to market faster. Please join us for this four-part webinar
series\, where we’ll explore a few of the LPC800 series MCU families in mo
re detail to help you decide which device best suits your next IoT design.
Learn more about the LPC80x MCU family\, discover the features\, target a
pplications\, tools\, software and how to get started right away with your
32-bit design. . REGISTER NOW »
X-ALT-DESC;FMTTYPE=text/html:The LPC800 series is a 32-bit\, Arm®\; C
ortex®\;-M0+-based MCU portfolio offering a range of low-power\, space
efficient\, low-pin-count options for basic microcontroller applications.
Unique to low-end devices\, the LPC800 series MCUs include differentiated
product features\, such as an NFC communication interface\, programmable l
ogical unit (PLU)\, mutual capacitive touch\, switch matrix for flexible c
onfiguration\, patent-approved SCTimer/PWM\, and more &ndash\; including a
comprehensive enablement offering to help you get to market faster.
Please join us for this four-part webinar series\, where we&rsquo\;ll e
xplore a few of the LPC800 series MCU families in more detail to help you
decide which device best suits your next IoT design. Learn more ab
out the LPC80x MCU family\, discover the features\, target applications\,
tools\, software and how to get started right away with your 32-bit design
. .  \;
CATEGORIES:Education
UID:20180528T0006450Z-511394-1792@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171206T160000
DTEND;TZID=America/Sao_Paulo:20171206T170000
SUMMARY:HMI hardware and software: What you need to know
DESCRIPTION:Advances in human-machine interface (HMI) hardware and software
make HMI implementation (as a window into controls\, operations\, and ent
erprise) easier. A wide variety of features and functions can make selecti
on a challenge. How much of what is needed? CFE Media research will be cit
ed. Learning objectives: Identify key HMI hardware and software trends f
rom Control Engineering research Review HMI hardware features needed for w
hat applications Learn criteria for what’s needed and what’s not for HMI h
ardware Review software features needed for what applications Learn criter
ia for what’s needed and what’s not for HMI software. Presenters: Anthony
Smith\, director of engineering\, Industrial Automated Systems Inc. Danie
l Miller\, associate controls engineer\, Patti Engineering Moderator: Mar
k T. Hoske\, content manager\, Control Engineering Click here to register
today!
X-ALT-DESC;FMTTYPE=text/html:Advances in human-machine interface (HMI) hardware and software make
HMI implementation (as a window into controls\, operations\, and enterpri
se) easier. A wide variety of features and functions can make selection a
challenge. How much of what is needed? CFE Media research will be cited. <
/span> \; Learning objectives: - Identify key HMI hardware and software tre
nds from Control Engineering research
- Review HMI hardware features needed
for what applications
- Learn criteria for what&rsquo\;s needed and what&rsquo\;s n
ot for HMI hardware
- Review software features needed for what applications
li>
- Learn criteria f
or what&rsquo\;s needed and what&rsquo\;s not for HMI software.
Pres
enters: \; - Anthony Smith\, director of engineering\, Industrial
Automated Systems Inc.
- Daniel Miller\, associate controls engineer\, Patti Engine
ering \;
Moderator: Mark T. Hoske\, content manager\, Control Enginee
ring \; Click here to register t
oday!
CATEGORIES:Education
UID:20171130T1551560Z-511394-1654@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180111T160000
DTEND;TZID=America/Sao_Paulo:20180111T170000
SUMMARY:Connected Cars: Get Security in your Clutches
DESCRIPTION: The “connected car” has evolved from automobiles with basic
Internet access capabilities to vehicles that are part of the very networ
k fabric. Today’s connected car requires bi-directional communications wit
h other vehicles\, nearby infrastructure\, and the cloud to enable advance
d safety\, optimum efficiency\, and improved passenger experience. While e
ssential to next-generation vehicle architectures\, these requirements pre
sent serious (and often safety-critical) challenges for every automotive s
ubsystem connectivity touches. Take part as LDRA’s industry experts poke h
oles in the security issues surrounding the connected car\, then reveal ho
w the combination of secure architectures\, technologies\, coding and test
ing strategies can help in patching them. Automotive OEMs and suppliers wi
ll learn the role of secure application code in defending the weak points
in automotive architectures\, and will understand how the use of automated
requirements tracing\, coding standards and static analysis\, code covera
ge\, unit test\, and control and data coupling analysis can help to optimi
ze that defence\, both now and in the future. Speaker: Mark Pitchford\, Te
chnical Specialist\, LDRA Software Technology Moderator: Brandon Lewis\,
OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;
p>  \; The
&ldquo\;connected car&rdquo\; has evolved from automobiles with basic Int
ernet access capabilities to vehicles that are part of the very network fa
bric. Today&rsquo\;s connected car requires bi-directional communications
with other vehicles\, nearby infrastructure\, and the cloud to enable adva
nced safety\, optimum efficiency\, and improved passenger experience. Whil
e essential to next-generation vehicle architectures\, these requirements
present serious (and often safety-critical) challenges for every automotiv
e subsystem connectivity touches. Take part as LDRA&rsquo\;s indus
try experts poke holes in the security issues surrounding the connected ca
r\, then reveal how the combination of secure architectures\, technologies
\, coding and testing strategies can help in patching them. Automotive OEM
s and suppliers will learn the role of secure application code in defendin
g the weak points in automotive architectures\, and will understand how th
e use of automated requirements tracing\, coding standards and static anal
ysis\, code coverage\, unit test\, and control and data coupling analysis
can help to optimize that defence\, both now and in the future. |
Speaker: Mark Pitchford\, Technical Specialist\, LD
RA Software Technology Moderator:
 \; Brandon Lewis\, OpenSystems Media |
|
CATEGORIES:Education
UID:20171130T1554110Z-511394-1655@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171213T130000
DTEND;TZID=America/Sao_Paulo:20171213T140000
SUMMARY:Keys to success with vision-guided robotics for flexible automation
DESCRIPTION:Today\, many if not most factory automation settings are using
vision-guided robotics. When it comes to deploying these\, however\, there
are many design and integration challenges that exist. David Dechow\, Sta
ff Engineer\, Intelligent Robotics/Machine Vision\, FANUC America Corporat
ion\, will discuss several of these challenges that impact today's advance
d vision-guided robotics applications\, and the execution techniques that
successfully address them. What You'll Learn: • The challenges involved in
successful vision-guided robotics applications • Techniques and component
s involved in vision-guided robotics in automation • How emerging technolo
gy will fit into the market • Potential future challenges that exist withi
n vision-guided robotics Who Should Attend: • Engineers and engineering ma
nagers involved in vision-guided robotics in automation • Those interested
in learning more about using robotics in such a setting • Those intereste
d in learning about the challenges and techniques involved in vision-guide
d robotics • Those interested in learning about the benefits of using thes
e technologies • Those interested in learning about the how vision-guided
robotics create flexible automation environments REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html:Today\, many
if not most factory automation settings are using vision-guided robotics.
When it comes to deploying these\, however\, there are many design and int
egration challenges that exist. David Dechow\, Staff Engineer\, Intelligen
t Robotics/Machine Vision\, FANUC America Corporation\, will discuss sever
al of these challenges that impact today's advanced vision-guided robotics
applications\, and the execution techniques that successfully address the
m. What You'll Learn: &bull\; | The challenges in
volved in successful vision-guided robotics applications
&bull\; | Techniques and components involved in vision-guided robotics in auto
mation | &bull\; | How emerging technology will fit into the ma
rket | &bull\; | Po
tential future challenges that exist within vision-guided robotics | <
/tr> Who Should
Attend: &bull\; | Engineers and engineering managers involved in vision-guided robotics in
automation | &bull\; | Those interested in learning more about
using robotics in such a setting | &bull
\; | Those interested i
n learning about the challenges and techniques involved in vision-guided r
obotics | &bull\; | Those interested in learning about the bene
fits of using these technologies | &bull
\; | Those interested i
n learning about the how vision-guided robotics create flexible automation
environments |  \;
CATEGORIES:Education
UID:20171130T1558230Z-511394-1656@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171214T160000
DTEND;TZID=America/Sao_Paulo:20171214T170000
SUMMARY:Mastering Noise Figure Measurements
DESCRIPTION:Summary With the move to millimeter wave in 5G and Satellite co
mmunications\, transceiver designers are faced with increasing challenges
to differentiate their products. Characterizing the noise contributed by
RF and microwave amplifiers and frequency converters is essential for opt
imizing overall system performance. Noise figure\, the accepted figure-of-
merit\, is typically measured using the widely-understood Y-factor or hot/
cold method. However\, when using a vector network analyzer\, the less-fam
iliar cold-source method lends itself to advanced error-correction techniq
ues that enable exceptional measurement accuracy. This webinar will prov
ide an overview of both techniques and contrast their strengths and accura
cy limitations. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Summary With the move t
o millimeter wave in 5G and Satellite communications\, transceiver designe
rs are faced with increasing challenges to differentiate their products.
p>  \; Characterizing the noise contributed by RF and micr
owave amplifiers and frequency converters is essential for optimizing over
all system performance. Noise figure\, the accepted figure-of-merit\, is t
ypically measured using the widely-understood Y-factor or hot/cold
method. However\, when using a vector network analyzer\, the les
s-familiar cold-source method lends itself to advanced er
ror-correction techniques that enable exceptional measurement accuracy.  \; This webinar will provide an overview of both techni
ques and contrast their strengths and accuracy limitations. REGISTER NOW \;
CATEGORIES:Education
UID:20171130T1615490Z-511394-1664@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171205T100000
DTEND;TZID=America/Sao_Paulo:20171205T110000
SUMMARY: Webinar SmartCore - Tago: desenvolvendo com Sigfox e plataforma Ta
go
DESCRIPTION:Agenda: Principios básicos da tecnologia Sigfox Aplicações qu
e se beneficiam da tecnologia Sigfox Utilizando o kit de desenvolviento Si
gfox XKIT1-2 Desenvolvendo hardware com o módulo Sigfox Wisol Utilizando a
plataforma Tago junto com Sigfox\, para desenvolver rapidamente seu dashb
oard e analytics REGISTRE-SE\, CLIQUE AQUI
X-ALT-DESC;FMTTYPE=text/html: &nb
sp\; - Principios bá\;sicos da tecnologia Sigf
ox
- Aplicaç\;õ\;es que se beneficiam da tecnologia Si
gfox
- Utilizando o kit de desenvolviento Sigfox XKIT1-2
- Desenvolvendo hardware com o mó\;dulo Sigfox Wisol
- Utiliz
ando a plataforma Tago junto com Sigfox\, para desenvolver rapidamente seu
dashboard e analytics
REGISTRE-SE\, CLIQUE AQUI
CATEGORIES:Education
UID:20171130T1600530Z-511394-1657@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171206T160000
DTEND;TZID=America/Sao_Paulo:20171206T170000
SUMMARY:Reducing Avionics Packaging Up to 40 Percent
DESCRIPTION: Space and cost are always a challenge for engineers designi
ng avionics systems. However\, both can be reduced by leveraging a distrib
uted architecture via an ARINC 836 standard in a modular component solutio
n for avionics systems that distributes avionics throughout the aircraft i
n smaller\, lighter package configurations -- reducing packaging space by
as much as 40 percent. In this webinar\, we will discuss: How increased co
mputing power in a smaller package is possible utilizing MiniMRP avionics
packaging\, The role of small-form-factor electronic devices in modular co
mponents that work to enable distributed avionics systems\, How to deploy
a distributed architecture to enable information collection and distributi
on around a fiber optic or copper backbone\, and How new and existing aero
space industry standards can help lower avionics systems cost. Speakers: R
uss Graves\, Business Development for Commercial Aerospace\, TE Connectivi
ty Christian Cavailles\, Product Manager\, TE Connectivity Christophe Prel
- EMEA Business Development & Optical Flex Products Manager\, TE Connecti
vity Matt McAlonis\, Engineering Manager\, TE Connectivity Moderator: Joh
n McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;
 \;
Space and cost are always a challenge for engineers designi
ng avionics systems. However\, both can be reduced by leveraging a distrib
uted architecture via an ARINC 836 standard in a modular component solutio
n for avionics systems that distributes avionics throughout the aircraft i
n smaller\, lighter package configurations -- reducing packaging space by
as much as 40 percent. In this webinar\, we will discuss: - How increased computing power in a smaller package is possible util
izing MiniMRP avionics packaging\,
- The role of small-form-factor
electronic devices in modular components that work to enable distributed
avionics systems\,
- How to deploy a distributed architecture to e
nable information collection and distribution around a fiber optic or copp
er backbone\, and
- How new and existing aerospace industry standa
rds can help lower avionics systems cost.
|
Speake
rs: Russ Graves\, Business Development for Commercial Aeros
pace\, TE Connectivity Christian Cavailles\, Product Manager\, TE Co
nnectivity Christophe Prel - EMEA Business Development &\; Optica
l Flex Products Manager\, TE Connectivity Matt McAlonis\, Engineerin
g Manager\, TE Connectivity Moder
ator: \; John McHale\, OpenSystems Media |
tr> |
CATEGORIES:Education
UID:20171130T1602150Z-511394-1658@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171220T160000
DTEND;TZID=America/Sao_Paulo:20171220T170000
SUMMARY:Efficiently Monitoring Energy Usage in Battery Powered Systems
DESCRIPTION: Monitoring energy and power can be useful for many reasons.
Beyond power management for power and energy savings\, changes in energy
usage can point to changes in a system that need to be addressed\, such as
higher than expected energy usage due to a software update or component f
ailure. This presentation looks briefly at traditional methods for measuri
ng power and energy and presents a more modern solution based on the PAXC1
934 from Microchip Technology. This device enables very accurate measureme
nt of voltage\, current\, power\, and accumulated power. With very little
system overhead energy usage can be accurately measured. Speaker: Thomas A
nderson\, Staff Engineer\, Microchip Technology Moderator: Curt Schwadere
r\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;  \; Monitorin
g energy and power can be useful for many reasons. Beyond power management
for power and energy savings\, changes in energy usage can point to chang
es in a system that need to be addressed\, such as higher than expected en
ergy usage due to a software update or component failure. This pre
sentation looks briefly at traditional methods for measuring power and ene
rgy and presents a more modern solution based on the PAXC1934 from Microch
ip Technology. This device enables very accurate measurement of voltage\,
current\, power\, and accumulated power. With very little system overhead
energy usage can be accurately measured. | Speaker: Thomas Anderson\, Staff Engineer\, Microchip Technology Moderator: \; Curt Schw
aderer\, OpenSystems Media | |
CATEGORIES:Education
UID:20171130T1603370Z-511394-1659@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171206T150000
DTEND;TZID=America/Sao_Paulo:20171206T160000
SUMMARY:Characterization and Compliance Testing for 400G/PAM4 Designs
DESCRIPTION: What this webinar is about Most 400G links used in high-speed
Datacom applications will utilize signaling formats such as pulse amplitu
de modulation 4-level (PAM4) to achieve design goals set forth by draft st
andards such as 400G Ethernet (IEEE 802.3bs and IEEE 802.3cd) and OIF-CEI-
56G. As new 400G designs transition from simulation to first prototype har
dware\, engineers are now faced with the challenging task of reviewing the
standards in order to develop a test plan. Fortunately\, the methods that
describe how to characterize 400G transmitter outputs\, channel operating
margin (COM)\, and receiver inputs\, are becoming more stable. This web
inar covers both electrical and optical compliance testing to the latest d
rafts of these standards\, as well as additional tools for simulation and
design validation to assure interoperability. Who should attend Advanced
development researchers\, design engineers\, signal integrity engineers\,
validation engineers\, test engineers\, architects\, project managers\, p
rogram managers\, application managers\, and application engineers. REGIST
ER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; What this webinar is about Most 400G links used in high-speed Datacom applications will utili
ze signaling formats such as pulse amplitude modulation 4-level (PAM4) to
achieve design goals set forth by draft standards such as 400G Ethernet (I
EEE 802.3bs and IEEE 802.3cd) and OIF-CEI-56G. As new 400G designs transit
ion from simulation to first prototype hardware\, engineers are now faced
with the challenging task of reviewing the standards in order to develop a
test plan. Fortunately\, the methods that describe how to characterize 40
0G transmitter outputs\, channel operating margin (COM)\, and receiver inp
uts\, are becoming more stable.  \; This webinar cover
s both electrical and optical compliance testing to the l
atest drafts of these standards\, as well as additional tools for
simulation and design validation to assure interoperability.
 \; Who should attend Advanced developm
ent researchers\, design engineers\, signal integrity engineers\, validati
on engineers\, test engineers\, architects\, project managers\, program ma
nagers\, application managers\, and application engineers. REGISTER NOW
CATEGORIES:Education
UID:20171130T1605340Z-511394-1660@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171213T170000
DTEND;TZID=America/Sao_Paulo:20171213T180000
SUMMARY:MultiTech Approach to IoT Security for Device Connectivity
DESCRIPTION: Join Derek Wallace\, Director of Product Management at MultiT
ech\, as he provides an overview of the 3-tiered MultiTech approach to ens
uring device and communications security. He will also provide information
on one of the first MultiTech security services offerings\, in partnershi
p with Asavie\, for enabling secure end-to-end Industrial Internet of Thin
g (IIoT) communication. In this first of a multipart webinar series\, Dere
k will cover the following: Agenda: • 3-Tiered MultiTech Security Approac
h Ensuring Device Security Enabling Secure End-to-End Communications Manag
ement & Control – for secure deployment & management at scale • MultiTech
& Asavie Secure Communications solution featuring Passbridge • Set the sta
ge for the next webinar featuring our partnership with Device Authority fo
r the following services: Device authentication & management Automated pas
sword management End-to-end encryption Secure firmware updates Join us
for one of these webinars to learn more about MultiTech security service o
fferings. 1:00pm - 2:00pm U.S. Central Time
X-ALT-DESC;FMTTYPE=text/html:  \; Join Derek Wallace\, D
irector of Product Management at MultiTech\, as he provides an overview of
the 3-tiered MultiTech approach to ensuring device and communications sec
urity. He will also provide information on one of the first MultiTech secu
rity services offerings\, in partnership with Asavie\, for enabling secure
end-to-end Industrial Internet of Thing (IIoT) communication.
In this first of \;a multipart webinar series\, Derek will co
ver the following: \; Agenda: &bull\; 3-Tiered MultiTech Security Approach
- Ensuring Device Security
- Enabling Secure End-to-End Communicatio
ns
- Man
agement &\; Control &ndash\; for secure deployment &\; management at
scale
&bull\; MultiTech &\; Asavie Secure
Communications solution featuring Passbridge &bull\; S
et the stage for the next webinar featuring our partnership with Device Au
thority for the following services: - Device auth
entication &\; management
- Automated password management
- End-to-end encryption
- Secure firm
ware updates \;
 \; Join us for one of these we
binars to learn more about MultiTech security service offerings. 1:00pm - 2:00pm U.S. Central Time
a>  \;
CATEGORIES:Education
UID:20171130T1611040Z-511394-1662@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171205T140000
DTEND;TZID=America/Sao_Paulo:20171205T150000
SUMMARY:Transforming Medical Device Innovation through Digital Continuity E
xample Showcase: Combination Products
DESCRIPTION:Dear HENRIQUE\, During the last decade\, Life Sciences companie
s have been divided into numerous isolated divisions. To manage this\, man
y created complex matrix-based organizations attempting to enhance cross-d
ivision communication and data exchange to streamline internal processes\,
but much more is required. Organizations that digitalize their business
es by embracing the principles and technology that deliver digital continu
ity across the entire innovation continuum will win. This will better serv
e the drive toward open innovation\, collaborative research and engineerin
g\, manufacturing and the ultimate delivery of differentiated patient expe
riences. It will transform the way they innovate and operate\, driving sig
nificantly enhanced margins with patient centric experiences and increased
productivity and profits. Attend this interactive webinar to learn how
you can improve product development efficiencies through digital continuit
y\, and achieve breakthrough innovations while delivering high quality and
global regulatory compliance. Register Now →
X-ALT-DESC;FMTTYPE=text/html: Dear HENRIQUE\,
During the last
decade\, Life Sciences companies have been divided into numerous isolated
divisions. To manage this\, many created complex matrix-based organization
s attempting to enhance cross-division communication and data exchange to
streamline internal processes\, but much more is required.  \; Organizations that digitalize their businesses by embracing the princip
les and technology that deliver digital continuity across the entire innov
ation continuum will win. This will better serve the drive toward open inn
ovation\, collaborative research and engineering\, manufacturing and the u
ltimate delivery of differentiated patient experiences. It will transform
the way they innovate and operate\, driving significantly enhanced margins
with patient centric experiences and increased productivity and profits.<
br /> \; Attend this interactive webinar to learn how you can imp
rove product development efficiencies through digital continuity\, and ach
ieve breakthrough innovations while delivering high quality and global reg
ulatory compliance. Regis
ter Now &rarr\;
CATEGORIES:Education
UID:20171130T1618020Z-511394-1665@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171207T140000
DTEND;TZID=America/Sao_Paulo:20171207T150000
SUMMARY:Deep learning and machine vision software advancements and benefits
Q&A
DESCRIPTION:In this Q&A webcast\, Tom Brennan\, President\, Artemis Vision\
, will answer your questions regarding software and deep learning techniqu
es\, including the techniques and technologies available\, in a live quest
ion-and-answer style webcast. What You'll Learn: • The latest in machine v
ision and imaging software • The latest in deep learning methods and produ
cts • Uses for deep learning and AI technology in vision Who Should Attend
: • Engineers and engineering managers looking to deploy deep learning or
software technology • Those interested in learning about the latest applic
ations of deep learning/software • Those interested in learning about the
latest techniques in deep learning/software • End-users looking to deplo
y deep learning/software REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html: In this Q&\;A webcast\, Tom Brennan\, President\, Artemis Vision
\, will answer your questions regarding software and deep learning techniq
ues\, including the techniques and technologies available\, in a live ques
tion-and-answer style webcast. What You'll <
a style='text-decoration: underline\; color: #666666\;' href='http://ea.ec
n5.com/Clicks/VDJUWjEwVVk5UWdOeTlnenJZa2dCbDhMSEduTzVVOW40SHJ0SmlXM2hpZ3px
clpCY0JKMS9saDMyaklyaVdQUFpWVFk2YVRTRkFNVnoxUk1mdHVtbUE9PQ%3d%3d'>Learn: | &bull\; | The latest in machine vision and imaging sof
tware | &bull\; | The latest in deep learning methods and produ
cts | &bull\; | Uses for deep learning and AI technology in vis
ion | Who Should <
a style='text-decoration: underline\; color: #666666\;' href='http://ea.ec
n5.com/Clicks/VDJUWjEwVVk5UWdOeTlnenJZa2dCbDhMSEduTzVVOW40SHJ0SmlXM2hpaWd3
amtobUpxakdkWlh2Z3pnSnlmaEkwc05aYXpaVk9ydGlmUDRiQWsvcEE9PQ%3d%3d'>Attend
a>: | <
tr> &bull\; | Engineers and engineering managers looking
to deploy deep learning or software technology | &bull\; | Thos
e interested in learning about the latest applications of deep learning/so
ftware | &bull\; | Those interested in learning about the
latest techniques in deep learning/software  \; |
tr> &bull\; | End-users looking to deploy deep learning/software |
tr>  \;  \; REGISTER HERE!
CATEGORIES:Education
UID:20171130T1620230Z-511394-1666@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171116T130000
DTEND;TZID=America/Sao_Paulo:20171116T140000
SUMMARY:Latest Trends in Embedded Tools\, Frameworks\, and Systems for Effe
ctive Development
DESCRIPTION: Processor\, board\, and programming advancements are requirin
g a new set of tools and frameworks in order to effectively develop embedd
ed and IoT applications. Multi-core processors and symmetric multiprocesso
r software create challenges in ensuring code correctness and reliability.
Security concerns need to be measured and identified in system requiremen
ts. Join us as embedded tools experts discuss the latest trends in embedde
d and IoT systems and how tools\, frameworks\, and systems are evolving to
address them. Sponsor: National Instruments Moderator: Rich Nass\, Ope
nSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| |
Processor\, board\, and programming advancements are requiring a new
set of tools and frameworks in order to effectively develop embedded and I
oT applications. Multi-core processors and symmetric multiprocessor softwa
re create challenges in ensuring code correctness and reliability. Securit
y concerns need to be measured and identified in system requirements.
Join us as embedded tools experts discuss the latest trends in embedde
d and IoT systems and how tools\, frameworks\, and systems are evolving to
address them.  \; | Sponsor:<
/strong> National Instruments Moderator: \; Rich Nass\, OpenSystems Media  \;
CATEGORIES:Education
UID:20171021T1628490Z-511394-1596@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161215T130000
DTEND;TZID=America/Sao_Paulo:20161215T140000
SUMMARY:Embedded University - Class 3 - Building Out Your IoT Application
DESCRIPTION:Putting together the various pieces of an IoT system is like as
sembling a jigsaw puzzle. But it's a finite task that most engineers can g
et through. When it comes to the operating system\, other runtime software
and the development tools available\, here are seemingly endless possibil
ities. Hence\, on Day 3 of this three-day class\, we'll help you figure ou
t what software is needed for your system\, where you can find that softwa
re\, how you can evaluate the software\, what tools are available to help
assemble the pieces and build your applications\, and how to test it after
it's been deployed. Day 3 sponsored by Intel. REGISTER NOW FOR CLASS 3
X-ALT-DESC;FMTTYPE=text/html:Putting toget
her the various pieces of an IoT system is like assembling a jigsaw puzzle
. But it's a finite task that most engineers can get through. When it come
s to the operating system\, other runtime software and the development too
ls available\, here are seemingly endless possibilities. Hence\, on Day 3
of this three-day class\, we'll help you figure out what software is neede
d for your system\, where you can find that software\, how you can evaluat
e the software\, what tools are available to help assemble the pieces and
build your applications\, and how to test it after it's been deployed. Day
3 sponsored by Intel.  \; REGISTER NOW FOR CLASS 3
CATEGORIES:Education
UID:20161117T1314570Z-511394-1141@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171114T170000
DTEND;TZID=America/Sao_Paulo:20171114T180000
SUMMARY:Increasing the Level of Reliability of Deployed Software Defined Ra
dios
DESCRIPTION: As SDRs are increasingly being used in remotely deployed ap
plications\, a critical gap has emerged in how a remote operator of a Soft
ware Defined Radio can ensure the device is functioning and has not exceed
ed critical operational and environmental parameters. With this gap in min
d\, new tools are being developed that improve the remote management\, mai
ntainability\, and reliability of deployed Software Defined Radios by prov
iding the ability to update firmware\, recover from errant software or fir
mware updates\, and push critical patches to multiple deployed radios. In
this webinar\, we will outline how a new generation of SDRs are being desi
gned with these Reliability\, Availability\, Serviceability\, and Maintain
ability (RASM) features and tools as a core of the radio. Speaker: Tim F
ountain\, Business Development Manager\, Ettus Research (A National Instru
ments Company) Moderator: John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \; |
|
tr> As SDRs are increasingly being used in remotel
y deployed applications\, a critical gap has emerged in how a remote opera
tor of a Software Defined Radio can ensure the device is functioning and h
as not exceeded critical operational and environmental parameters. With th
is gap in mind\, new tools are being developed that improve the remote man
agement\, maintainability\, and reliability of deployed Software Defined R
adios by providing the ability to update firmware\, recover from errant so
ftware or firmware updates\, and push critical patches to multiple deploye
d radios. In this webinar\, we will outline how a new generation o
f SDRs are being designed with these Reliability\, Availability\, Servicea
bility\, and Maintainability (RASM) features and tools as a core of the ra
dio.  \; |
Speake
r: Tim Fountain\, Business Development Manager\, Ettus Rese
arch (A National Instruments Company) Moderator: \; John McHale\, OpenSystems Media
| |  \;
CATEGORIES:Education
UID:20171021T1630500Z-511394-1597@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171024T170000
DTEND;TZID=America/Sao_Paulo:20171024T180000
SUMMARY:Collaborative Robot Systems and Safety
DESCRIPTION:Hello- I'm Bob Vavra\, Editor of Plant Engineering\, and I wa
nted to let you know about a live Webcast that I think you would be intere
sted in attending. “Collaborative Robot Systems and Safety” will cover the
latest information on safety standards for safety of industrial robots an
d robot systems\, including collaborative robotics. The event will go live
on Tuesday\, Oct. 24\, 2017 at 11 a.m. PT/1 p.m. CT/2 p.m. ET. One (1) Ce
rtified Professional Development Hour (PDH) is available for all attendees
. Here is more information about the Webcast: A new generation of robo
ts are designed to not only provide more efficiency and great productivity
in manufacturing\, but they also are designed to work next to human worke
rs. That requires an even greater attention to robotics safety\, but it al
so requires more attention to human safety issues. Join Carole Franklin\
, Director of Standards Development for the Robotic Industries Association
(RIA)\, to learn about safety and collaborative robot systems. Learn abou
t the safety standard documents for safety of industrial robots and robot
systems\, including collaborative robotics. Learning Objectives: Underst
and the four modes of collaborative operation Identify the crucial differe
nce between a collaborative robot and a collaborative robot system Learn a
bout the importance of conducting a thorough risk assessment before you be
gin operations with your collaborative robot. Click here to register.
X-ALT-DESC;FMTTYPE=text/html:Hello- &nbs
p\; I'm Bob Vavra\, Editor of Plant Engineering\, and I wanted to let you know about a live Webcast that I think you wo
uld be interested in attending. &ldquo\;Collaborative Robot Systems and Sa
fety&rdquo\; w
ill cover the latest information on safety standards for safety of industr
ial robots and robot systems\, including collaborative robotics. The event
will go live on Tuesday\, Oct. 24\, 2017 at 11 a.m. PT/1 p.m. CT/2 p.m. E
T. One (1) Certified Professional Development Hour (PDH) is availa
ble for all attendees.  \; Here is more information about the W
ebcast:  \;
A new generation of robots are designed to not only provide
more efficiency and great productivity in manufacturing\, but they also ar
e designed to work next to human workers. That requires an even greater at
tention to robotics safety\, but it also requires more attention to human
safety issues.  \;
Join Carole Franklin\, Director of Standards Developm
ent for the Robotic Industries Association (RIA)\, to learn about safety a
nd collaborative robot systems. Learn about the safety standard documents
for safety of industrial robots and robot systems\, including collaborativ
e robotics.  \;
div> Learning Objectives: - Understand the fou
r modes of collaborative operation
- Identify the crucial difference between a colla
borative robot and a collaborative robot system
- Learn about the importance of cond
ucting a thorough risk assessment before you begin operations with your co
llaborative robot. \;
 \;  \;  \;
CATEGORIES:Education
UID:20171021T1636010Z-511394-1598@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171102T160000
DTEND;TZID=America/Sao_Paulo:20171102T170000
SUMMARY:Horticultural lighting plays out in varied spaces
DESCRIPTION: Strategies Unlimited research director Philip Smallwood will
recap the forecast and market opportunities that were presented at the rec
ent Horticultural Lighting Conference. What You'll Learn: General puls
e of the LED market Overview of horticultural lighting segment data What a
pplication needs are driving the market Who Should Attend: SSL product d
evelopers Lighting specifiers Lighting manufacturers/OEMs
X-ALT-DESC;FMTTYPE=text/html:  \; Strategies Unlimited researc
h director Philip Smallwood will recap the forecast and market opportuniti
es that were presented at the recent Horticultural Lighting Conference.
span>  \; What You'll Learn:  \; - General pulse of the LED market
- Overview of horticu
ltural lighting segment data
- What application needs are driving the market
 \;
Who Should<
/strong> Attend: - SSL product developers
- Lighting specifiers
- Lighting
manufacturers/OEMs
CATEGORIES:Education
UID:20171021T1639410Z-511394-1599@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171107T140000
DTEND;TZID=America/Sao_Paulo:20171107T150000
SUMMARY:Série de webinars: Sistemas de teste mais inteligentes para equipam
entos eletrônicos aeroespaciais e de defesa
DESCRIPTION: Sua missão é fornecer tecnologias inovadoras para os setores
aeroespacial e de defesa que se destaquem e que estejam dentro do orçament
o. E graças às soluções que vão desde a simulação hardware in the loop ao
teste de sistemas eletrônicos de sinais mistos para diversos dispositivos
- de motores a jato a túneis climáticos - a plataforma da NI\, aberta e ba
seada em software\, está pronta para ajudá-lo a explorar essas novas front
eiras com uma abordagem poderosa e de uso comprovado para o teste mais int
eligente. Inscreva-se já para participar desses webinars gratuitos. VEJA M
AIS INFORMAÇÕES E FAÇA SUA INSCRIÇÃO
X-ALT-DESC;FMTTYPE=text/html:  \; Sua missã\;o é\; fornecer tecnologias
inovadoras para os setores aeroespacial e de defesa que se destaquem e que
estejam dentro do orç\;amento. E graç\;as à\;s solu&cce
dil\;õ\;es que vã\;o desde a simulaç\;ã\;o hardwar
e in the loop ao teste de sistemas eletrô\;nicos de sinais mistos par
a diversos dispositivos - de motores a jato a tú\;neis climá\;
ticos - a plataforma da NI\, aberta e baseada em software\, está\; p
ronta para ajudá\;-lo a explorar essas novas fronteiras com uma abor
dagem poderosa e de uso comprovado para o teste mais inteligente. Inscreva-se já\; para participar desses webinars gratuitos. VEJA MAIS I
NFORMAÇ\;Õ\;ES E FAÇ\;A SUA INSCRIÇ\;Ã\;O
CATEGORIES:Education
UID:20171021T1714110Z-511394-1601@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171031T140000
DTEND;TZID=America/Sao_Paulo:20171031T150000
SUMMARY:Overcoming Challenges in GaN Power Amplifier Implementations
DESCRIPTION:Overview: Sponsored by Analog Devices and Richardson RFPD. We
will introduce some of the benefits of gallium nitride (GaN) over gallium
arsenide (GaAs) IC technologies and explore some of the challenges present
in moving from GaAs to GaN power amplifier implementations for die\, surf
ace mount\, and mechanical attach. Challenges will include assembly\, ther
mal management\, and DC bias considerations. We will show how ADI's soluti
ons can be used to overcome those challenges. Who Should Attend: Engineers
interested in improving the performance of GaN power amplifier implementa
tions in areas such as 5G\, radar\, satellite communications\, medical ima
ging\, downhole drilling and more.
X-ALT-DESC;FMTTYPE=text/html: Overview: Sponsored by Analog Devices and Richardson RFPD. \; We will introduce some of the benefits of gallium nitride (GaN) o
ver gallium arsenide (GaAs) IC technologies and explore some of the challe
nges present in moving from GaAs to GaN power amplifier implementations fo
r die\, surface mount\, and mechanical attach. Challenges will include ass
embly\, thermal management\, and DC bias considerations. We will show how
ADI's solutions can be used to overcome those challenges.
Who Should Attend: E
ngineers interested in improving the performance of GaN power amplifier im
plementations in areas such as 5G\, radar\, satellite communications\, med
ical imaging\, downhole drilling and more.  \;
CATEGORIES:Education
UID:20171021T1655230Z-511394-1602@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171026T140000
DTEND;TZID=America/Sao_Paulo:20171026T150000
SUMMARY:Automotive Power Supply ICs: The Enabler for Your Success
DESCRIPTION:Overview: All the active components of modern electronic syst
ems need to contribute to optimized designs and the highest possible energ
y efficiency. Other dedicated features such as compact package form and ro
bustness in harsh automotive environments are key to achieving maximum sys
tem performance with minimum system and operational cost outlay. In this c
ontext\, the enormous number of power supply devices required for electron
ic designs contain a huge potential for optimization. Voltage regulators a
nd DC/DC converters have to ensure reliable and accurate power for many co
mponents in the vehicle’s ECU such as microcontrollers and sensors. Durin
g this webinar\, you will learn more about Infineon’s solutions for the ri
sing number of power supply challenges and how to select the best device f
or specific automotive application needs. Attendees will learn: Automotive
market trends and power supply challenges Overview of supply topologies a
nd Infineon’s solution offering Understand how specific applications benef
it from the characteristics provided by Infineon’s linear voltage regulato
rs and DC/DC converters Learn about support material to easily evaluate th
e right product for your requirements » Register Today
X-ALT-DESC;FMTTYPE=text/html: Overview:  \; | All the active components o
f modern electronic systems need to contribute to optimized designs and th
e highest possible energy efficiency. Other dedicated features such as com
pact package form and robustness in harsh automotive environments are key
to achieving maximum system performance with minimum system and operationa
l cost outlay. In this context\, the enormous number of power
supply devices required for electronic designs contain a huge potential f
or optimization. Voltage regulators and DC/DC converters have to ensure re
liable and accurate power for many components in the vehicle&rsquo\;s ECU
such as microcontrollers and sensors. \; During this webi
nar\, you will learn more about Infineon&rsquo\;s solutions for th
e rising number of power supply challenges and how to select the best devi
ce for specific automotive application needs. |
Attendees will learn: |
- Automotive market trends and power supply challenge
s
- Overview of supply topologies and Infineon&rsquo\;s solution o
ffering
- Understand how specific applications benefit from the ch
aracteristics provided by Infineon&rsquo\;s linear voltage regulators and
DC/DC converters
- Learn about support material to easily evaluate
the right product for your requirements
|
»\; Register Today | &nb
sp\;
CATEGORIES:Education
UID:20171021T1657410Z-511394-1603@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171115T170000
DTEND;TZID=America/Sao_Paulo:20171115T180000
SUMMARY:Safety Certification Key to Sharing the Sky for Avionics and UAVs
DESCRIPTION: Drones\, unmanned aerial vehicles (UAVs)\, remotely piloted a
ircraft (RPA)\, or whatever you wish to call them are everywhere. Your nei
ghbor probably has one. But\, are they safe enough to share the airspace w
ith manned aircraft like commercial passenger platforms and even small bus
iness jets? Not really\, not yet. Manned aircraft have strict levels of sa
fety certification — such as DO-178C and DO-254 — for flight critical soft
ware and hardware because human lives depend on it. However\, the drone re
volution will not be stopped and avionics experts in industry and governme
nt are developing protocols and products to enable a level of safety for u
nmanned aircraft that manned platforms have. This E-cast of industry exper
ts looks at the challenges involved in these efforts and cover solutions f
or solving avionics certification challenges in drones ranging from hand-t
hrown UAVs to transport platforms. Sponsors: AFuzion\, GrammaTech\, Lynx
Software Technologies Moderator: John McHale\, OpenSystems Media REGISTE
R NOW
X-ALT-DESC;FMTTYPE=text/html:  \; Drones\, unmanned aerial vehicles (UAV
s)\, remotely piloted aircraft (RPA)\, or whatever you wish to call them a
re everywhere. Your neighbor probably has one. But\, are they safe enough
to share the airspace with manned aircraft like commercial passenger platf
orms and even small business jets? Not really\, not yet. Manned aircraft h
ave strict levels of safety certification &mdash\; such as DO-178C and DO-
254 &mdash\; for flight critical software and hardware because human lives
depend on it. However\, the drone revolution will not be stopped and avio
nics experts in industry and government are developing protocols and produ
cts to enable a level of safety for unmanned aircraft that manned platform
s have. This E-cast of industry experts looks at the challenges in
volved in these efforts and cover solutions for solving avionics certifica
tion challenges in drones ranging from hand-thrown UAVs to transport platf
orms.  \; |
Spons
ors: AFuzion\, GrammaTech\, Lynx Software Technologies
Moderator: \; John
McHale\, OpenSystems Media | |
CATEGORIES:Education
UID:20171021T1700370Z-511394-1604@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171024T170000
DTEND;TZID=America/Sao_Paulo:20171024T180000
SUMMARY:Techniques for Validating Electronic Countermeasures
DESCRIPTION:oday's combat forces employ increasingly complex Electronic Cou
ntermeasures (ECM) to detect and deceive incoming hostile threats. The Ele
ctronic Warfare (EW) systems responsible for such technique generation mus
t be capable of maintaining high signal integrity. Verification of ECM per
formance requires a high-performance acquisition system to validate the re
sponse with precise correlation to the threat waveform and operating mode.
This webinar will examine approaches for ECM performance validation using
the Tektronix RSA. What You'll Learn: Quickly discover the true RF Si
gnature of Threat stimuli and verify ECM Techniques using real-time spectr
um analysis Verify coordinated techniques in both the time domain and freq
uency domain simultaneously. Quickly observe/analyze multi-domain characte
ristics of Threat Signals and Electronic Countermeasures while streaming t
o disk. Use Advanced Triggers to pinpoint signals of interest in a crowded
spectrum. o Trigger\, capture\, and store in real time all unique signals
of interest due to mode changes in radar\, incoming jamming signals\, and
unintentional interferers. Stream IQ Data at up to 800MB/sec up to 165 MH
z real-time bandwidth for continuous deep memory capture. Who Should Atten
d: Those involved with Electronic Warfare systems and their design\, devel
opment\, evaluation and deployment\, including: Design Engineers Test Engi
neers Systems Engineers Program Managers Engineering Managers Technical Di
rectors
X-ALT-DESC;FMTTYPE=text/html:oday's combat forces employ increasingly com
plex Electronic Countermeasures (ECM) to detect and deceive incoming hosti
le threats. The Electronic Warfare (EW) systems responsible for such techn
ique generation must be capable of maintaining high signal integrity. Veri
fication of ECM performance requires a high-performance acquisition system
to validate the response with precise correlation to the threat waveform
and operating mode.
This webinar will examine approaches for EC
M performance validation using the Tektronix RSA. &
nbsp\; What You'll Learn:  \; - Quickly discover the true RF Signature of
Threat stimuli and verify ECM Techniques using real-time spectrum analysis
- Ve
rify coordinated techniques in both the time domain and frequency domain s
imultaneously.
- Quickly observe/analyze multi-domain characteristics of Threat
Signals and Electronic Countermeasures while streaming to disk.
- Use Advanced
Triggers to pinpoint signals of interest in a crowded spectrum. o Trigger
\, capture\, and store in real time all unique signals of interest due to
mode changes in radar\, incoming jamming signals\, and unintentional inter
ferers.
- Stream IQ Data at up to 800MB/sec up to 165 MHz real-time bandwidth f
or continuous deep memory capture.
Who Shoul
d Attend: Those involved with Electronic Warfare systems and their
design\, development\, evaluation and deployment\, including: - Design Engineers
- Test Engineers<
/li>
- Systems Engineers
- Program Managers
- Engineeri
ng Managers
- Technical Directors
 \;
 \;
CATEGORIES:Education
UID:20171021T1706100Z-511394-1605@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171024T150000
DTEND;TZID=America/Sao_Paulo:20171024T160000
SUMMARY:Got questions about embedded vision? We have answers.
DESCRIPTION:Improvements in embedded and mobile vision building-block techn
ologies such as embedded computers\, compact vision processors\, camera mo
dules and software development tools are being introduced at an unpreceden
ted rate. This is fueling exponential growth in the number of system produ
cts incorporating vision\, including autonomous vehicles\, drones\, robots
and even toys. In this Q&A webcast\, Jeff Bier\, Founder and President\,
Embedded Vision Alliance\, will answer your questions regarding embedded v
ision and mobile imaging technologies\, including the enabling technologie
s and applications listed above\, in a live question-and-answer style webc
ast. What You'll Learn: • Significant recent developments in embedded visi
on and mobile vision technologies • Challenges and techniques in embedded
vision/mobile imaging product development • The latest applications incorp
orating embedded vision/mobile vision systems • Trends driving future appl
ications of embedded vision/mobile vision systems Who Should Attend: • E
ngineers and engineering managers looking to deploy embedded/mobile vision
systems • Those interested in learning about the latest applications of e
mbedded/mobile vision systems • Those interested in learning about the lat
est techniques in embedded/mobile vision systems • End-users looking to de
ploy embedded/mobile vision systems • Current developers who want to bette
r understand trends driving vision applications and technology REGISTER
X-ALT-DESC;FMTTYPE=text/html:Improvements in embedded and mobile vision building-block technologie
s such as embedded computers\, compact vision processors\, camera modules
and software development tools are being introduced at an unprecedented ra
te. This is fueling exponential growth in the number of system pro
ducts incorporating vision\, including autonomous vehicles\, drones\, robo
ts and even toys. In this Q&\;A webcast\, Jeff Bier\, Founder a
nd President\, Embedded Vision Alliance\, will answer your questions regar
ding embedded vision and mobile imaging technologies\, including the enabl
ing technologies and applications listed above\, in a live question-and-an
swer style webcast. What You'll Le
arn: &bu
ll\; | Sign
ificant recent developments in embedded vision and mobile vision technolog
ies | &bull\; | Challenges and techniques in embedded vision/mo
bile imaging product development | &bull
\; | The latest applica
tions incorporating embedded vision/mobile vision systems | &bull\; | Trends driving future a
pplications of embedded vision/mobile vision systems |
 \; Who Should
Attend:
&bull\; | E
ngineers and engineering managers looking to deploy embedded/mobile vision
systems | &bull\; | Those interested in learning about the lat
est applications of embedded/mobile vision systems | &bull\; |
Those interested in learning about the latest techniques in embedded/mobil
e vision systems | &bull\; | End-users looking to deploy embedd
ed/mobile vision systems | &bull\; |
Current developers who wan
t to better understand trends driving vision applications and technology
td> | REGISTER
CATEGORIES:Education
UID:20171021T1722150Z-511394-1607@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171023T160000
DTEND;TZID=America/Sao_Paulo:20171023T170000
SUMMARY:Wireless Connectivity for MCU-based IoT Designs - Day 1
DESCRIPTION:This course will focus on three important wireless IoT connecti
vity methods\; BlueTooth LE\, WiFi and Cellular. A short description of ea
ch technology will be provided\, along with hands-on example implementatio
ns. The Renesas Synergy Platform will be used as the target for the hands-
on implementations and interested students can optionally download the fre
e software\, which includes the popular ThreadX RTOS and associated networ
king stacks. Additionally\, students can optionally purchase a Synergy har
dware kit to test out the hands-on designs used in the course. October 23
– Day 1 – Walking Around and Installing B4i The process of installing B4i
involves interacting with the Apple Developer Program. In today’s lecture\
, we will install B4i and perform all of the necessary steps to enable our
B4i apps to be App Store ready.
X-ALT-DESC;FMTTYPE=text/html:This course will focus on three important w
ireless IoT connectivity methods\; BlueTooth LE\, WiFi and Cellular. A sho
rt description of each technology will be provided\, along with hands-on e
xample implementations. The Renesas Synergy Platform will be used
as the target for the hands-on implementations and interested students can
optionally download the free software\, which includes the popular Thread
X RTOS and associated networking stacks. Additionally\, students can optio
nally purchase a Synergy hardware kit to test out the hands-on designs use
d in the course. -
The process of installing B4i involves interacting wi
th the Apple Developer Program. In today&rsquo\;s lecture\, we will instal
l B4i and perform all of the necessary steps to enable our B4i apps to be
App Store ready.
CATEGORIES:Education
UID:20171028T2106080Z-511394-1608@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171024T170000
DTEND;TZID=America/Sao_Paulo:20171024T180000
SUMMARY:IoT Programming with Basic for iOS - Day 2
DESCRIPTION:Basic for iOS is a Rapid Application Development tool that take
s the pain out of creating iPhone and iPad applications. This series of le
ctures will revolve around creating Basic for IOS applications that direct
ly support IoT devices. Each lecture will also provide details of the appl
ication development processes of the targeted IoT devices. October 24 – Da
y 2 – B4i Programming Techniques Now that we have B4i installed\, the cont
ent of today’s lecture will focus on utilizing the tools that stand behind
creating a B4i application. We will create our first B4i app using the Ho
sted Mac Builder\, which eliminates the need for a local Mac computer.
X-ALT-DESC;FMTTYPE=text/html:Basic for iOS is a Rapid Application Develo
pment tool that takes the pain out of creating iPhone and iPad application
s. This series of lectures will revolve around creating Basic
for IOS applications that directly support IoT devices. Each lecture will
also provide details of the application development processes of the targ
eted IoT devices. -
Now
that we have B4i installed\, the content of today&rsquo\;s lecture will fo
cus on utilizing the tools that stand behind creating a B4i application. W
e will create our first B4i app using the Hosted Mac Builder\, which elimi
nates the need for a local Mac computer.
CATEGORIES:Education
UID:20171021T1756130Z-511394-1609@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171025T170000
DTEND;TZID=America/Sao_Paulo:20171025T180000
SUMMARY:IoT Programming with Basic for iOS - Day 3
DESCRIPTION:Basic for iOS is a Rapid Application Development tool that take
s the pain out of creating iPhone and iPad applications. This series of le
ctures will revolve around creating Basic for IOS applications that direct
ly support IoT devices. Each lecture will also provide details of the appl
ication development processes of the targeted IoT devices. October 25 – Da
y 3 – An IoT-flavored B4i Remote Control App When you really get down to i
t\, IoT is all about data and moving it from point A to point B. Today\, w
e will do just that using B4i\, an iPhone and a tricky little IoT device.
X-ALT-DESC;FMTTYPE=text/html:Basic for iOS is a Rapid Application Develo
pment tool that takes the pain out of creating iPhone and iPad application
s. This series of lectures will revolve around creating Basic
for IOS applications that directly support IoT devices. Each lecture will
also provide details of the application development processes of the targ
eted IoT devices. -
<
div class='field__item even'>When you really get down to it\, IoT is all a
bout data and moving it from point A to point B. Today\, we will do just t
hat using B4i\, an iPhone and a tricky little IoT device. <
/div>
CATEGORIES:Education
UID:20171021T1757090Z-511394-1610@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171026T170000
DTEND;TZID=America/Sao_Paulo:20171026T180000
SUMMARY:IoT Programming with Basic for iOS - Day 4
DESCRIPTION:Basic for iOS is a Rapid Application Development tool that take
s the pain out of creating iPhone and iPad applications. This series of le
ctures will revolve around creating Basic for IOS applications that direct
ly support IoT devices. Each lecture will also provide details of the appl
ication development processes of the targeted IoT devices. October 26 – Da
y 4 – Sensors and B4i When someone says “IoT”\, sensors immediately come t
o mind. This lecture will demonstrate how B4i can be used to support a num
ber of commonly used IoT sensors. We will examine both the B4i and sensor
side of the firmware creation process.
X-ALT-DESC;FMTTYPE=text/html:Basic for iOS is a Rapid Application Develo
pment tool that takes the pain out of creating iPhone and iPad application
s. This series of lectures will revolve around creating Basic
for IOS applications that directly support IoT devices. Each lecture will
also provide details of the application development processes of the targ
eted IoT devices.
CATEGORIES:Education
UID:20171021T1758250Z-511394-1611@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171027T170000
DTEND;TZID=America/Sao_Paulo:20171027T180000
SUMMARY:IoT Programming with Basic for iOS - Day 5
DESCRIPTION:Basic for iOS is a Rapid Application Development tool that take
s the pain out of creating iPhone and iPad applications. This series of le
ctures will revolve around creating Basic for IOS applications that direct
ly support IoT devices. Each lecture will also provide details of the appl
ication development processes of the targeted IoT devices. October 27 – Da
y 5 – B4i and Bluetooth The final lecture of this series will pair B4i wit
h an Bluetooth IoT device. We will craft our B4i Bluetooth app using B4i a
nd Keil. The IoT hardware will be based on Nordic’s series of nRF5 Bluetoo
th ICs.
X-ALT-DESC;FMTTYPE=text/html:Basic for iOS is a Rapid Application Develo
pment tool that takes the pain out of creating iPhone and iPad application
s. This series of lectures will revolve around creating Basic
for IOS applications that directly support IoT devices. Each lecture will
also provide details of the application development processes of the targ
eted IoT devices. The final lecture of this series will pair
B4i with an Bluetooth IoT device. We will craft our B4i Bluetooth app usin
g B4i and Keil. The IoT hardware will be based on Nordic&rsquo\;s series o
f nRF5 Bluetooth ICs.
CATEGORIES:Education
UID:20171021T1800040Z-511394-1612@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161214T140000
DTEND;TZID=America/Sao_Paulo:20161214T150000
SUMMARY:The Mobile Future of Augmented Reality
DESCRIPTION:Overview: Augmented Reality (AR) will seamlessly merge the re
al world with virtual objects\, providing an always-on experience that int
elligently enhances our lives. It will transform the way we live and inter
act with the world\, offering unprecedented experiences and increased prod
uctivity. Because of this\, AR is likely to be the next mobile computing p
latform. Making this vision possible requires many new technologies in thr
ee key areas: immersion\, cognition\, and connectivity. Making this happen
seamlessly in a mobile wearable device will not be easy\, but Qualcomm Te
chnologies is already developing the foundational mobile technologies. Att
endees will learn: The evolution of AR from today to the future The unprec
edented experiences and unlimited possibilities that AR will offer The tec
hnology advancements required in areas such as 3D graphics\, machine learn
ing\, computer vision\, and 4G/5G to support realistic\, intelligent\, and
personalized AR Why Qualcomm Technologies is uniquely positioned to lead
in AR » Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview: <
table border='0' width='400' cellspacing='0' cellpadding='0'>  \; | Augmented Reality (AR) will seamlessly merge the real world with virtu
al objects\, providing an always-on experience that intelligently enhances
our lives. It will transform the way we live and interact with the world\
, offering unprecedented experiences and increased productivity. Because o
f this\, AR is likely to be the next mobile computing platform. Making thi
s vision possible requires many new technologies in three key areas: immer
sion\, cognition\, and connectivity. Making this happen seamlessly in a mo
bile wearable device will not be easy\, but Qualcomm Technologies is alrea
dy developing the foundational mobile technologies. | Attendees will learn: | - The evolution of AR from today to
the future
- The unprecedented experiences and unlimited possibil
ities that AR will offer
- The technology advancements required in
areas such as 3D graphics\, machine learning\, computer vision\, and 4G/5
G to support realistic\, intelligent\, and personalized AR
- Why Q
ualcomm Technologies is uniquely positioned to lead in AR
| »\; Register Today |  \;
CATEGORIES:Education
UID:20161201T1620440Z-511394-1144@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170316T160000
DTEND;TZID=America/Sao_Paulo:20170316T170000
SUMMARY:Accelerating Development and Reducing Design Risks with Rapid Proto
typing
DESCRIPTION:Rapid manufacturing offers many different methods for producing
your parts and knowing the benefits of each process can beneficial. In th
is webcast you will learn about rapid manufacturing processes used in prot
otyping and when it is best to use each of them to reduce manufacturing co
sts and decreasing your time to market. We will discuss this along with ho
w to receive a free design for manufacturability (DFM) review. What you wi
ll learn: What rapid manufacturing processes are available The benefits of
each rapid manufacturing process How early design for manufacturability (
DFM) feedback can optimize part design How rapid prototyping can reduce yo
ur time to market and manufacturing costs REGISTER
X-ALT-DESC;FMTTYPE=text/html: Rapid manufacturing offers many different methods for producing your p
arts and knowing the benefits of each process can beneficial. In this webc
ast you will learn about rapid manufacturing processes used in prototyping
and when it is best to use each of them to reduce manufacturing costs and
decreasing your time to market. We will discuss this along with how to re
ceive a free design for manufacturability (DFM) review. What you will learn:
- What rapid
manufacturing processes are available
- The benefits of each rapid manufacturing process
- How early design for
manufacturability (DFM) feedback can optimize part design
- How rapid prototyping can reduce
your time to market and manufacturing costs
REGISTER
CATEGORIES:Education
UID:20170310T1632510Z-511394-1264@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170824T150000
DTEND;TZID=America/Sao_Paulo:20170824T160000
SUMMARY:IIoT transforms predictive maintenance
DESCRIPTION:Manufacturers exploiting the latest IIoT industrial-connectivit
y and analytics advances are melding operations and maintenance data to sp
ot trends that lead managers to contextualized\, actionable insights. At t
he same time\, they are building the plant-floor technology infrastructure
of the future. Connecting complex machinery and equipment to operations a
nd enterprise systems enables machine learning\, predictive maintenance an
d secure monitor and alarm. IIoT addresses significant integration challen
ges posed by the unique data types generated by the many kinds of machiner
y and equipment found in plant environments\, from CNC machines to robotic
s. Tune into this Webcast to learn how emerging technologies\, including I
IoT\, are leading to business re-engineering\, including: Emerging predict
ive-maintenance models and applications Incorporation of machine learning
and artificial intelligence New cultural and organizational opportunitie
s A strategic approach to reliability in a real-time world Speaker: Dave
Reiber\, CRL/CMRP\, Senior Reliability Leader\, ReliabilityWeb.com Event S
ponsors: Bosch Rexroth\, Deublin\, GTI Spindle Technology\, Oracle + NetSu
ite\, Rittal REGISTER
X-ALT-DESC;FMTTYPE=text/html: Manufacturers exploiting the latest IIo
T industrial-connectivity and analytics advances are melding operations an
d maintenance data to spot trends that lead managers to contextualized\, a
ctionable insights. At the same time\, they are building the plant-floor t
echnology infrastructure of the future. Connecting complex machinery and e
quipment to operations and enterprise systems enables machine learning\, p
redictive maintenance and secure monitor and alarm. IIoT addresses signifi
cant integration challenges posed by the unique data types generated by th
e many kinds of machinery and equipment found in plant environments\, from
CNC machines to robotics. Tune into this Webcast to learn how emerging technologies\, includ
ing IIoT\, are leading to business re-engineering\, including: - Emerging predictive-maintenance models and applica
tions \;
- Incorporation of machine learning and ar
tificial intelligence \;
- New cultural and organiz
ational opportunities \;
- A strategic approach to
reliability in a real-time world
Speaker: \;Dave Reiber\, CRL/CMRP\, Sen
ior Reliability Leader\, ReliabilityWeb.com Event Sponsors: Bosch Rexroth
\, Deublin\, GTI Spindle Technology\, Oracle + NetSuite\, R
ittal REGISTER
CATEGORIES:Education
UID:20170812T2241440Z-511394-1500@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170314T150000
DTEND;TZID=America/Sao_Paulo:20170314T160000
SUMMARY:Educate Tomorrow's IoT Engineers
DESCRIPTION:Why this webcast is important: The Internet of Things (IoT) meg
atrend is here NOW! Keysight's ready-to-teach IoT Applied Courseware focus
es on practical design and test techniques to prepare your students for to
morrow’s challenges and opportunities. Your students will work with indust
ry-standard tools and software and learn the IoT ecosystem from fundamenta
ls and system design to wireless communication and power management. This
webinar discusses course details to enable you to incorporate the customiz
able material into your curriculum. In classrooms and teaching labs\, our
software and instruments offer students experience with leading edge indus
try tools. Who should view this webcast: University Faculty\, Professor\,
Lecturer\, Dean\, Department Chair\, Department Head\, Lab Manager\, Resea
rch Center Director Register Now
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important: The Internet of
Things (IoT) megatrend is here NOW! Keysight's ready-to-teach IoT Applied
Courseware focuses on practical design and test techniques to prepare your
students for tomorrow&rsquo\;s challenges and opportunities. Your student
s will work with industry-standard tools and software and learn the IoT ec
osystem from fundamentals and system design to wireless communication and
power management. This webinar discusses course details to enable you to i
ncorporate the customizable material into your curriculum. In classrooms a
nd teaching labs\, our software and instruments offer students experience
with leading edge industry tools. Who should view this webcast: Univer
sity Faculty\, Professor\, Lecturer\, Dean\, Department Chair\, Department
Head\, Lab Manager\, Research Center Director Register Now
CATEGORIES:Education
UID:20170303T1920190Z-511394-1244@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200917T123000
DTEND;TZID=America/Sao_Paulo:20200917T133000
SUMMARY:ISO 26262 Part 5: Product development at HW level
DESCRIPTION: This session dives deeper into the ISO 26262 hardware devel
opment process\, the classification of hardware faults and the calculation
of the hardware architectural metrics. We also describe how NXP implement
s its safety architecture and how faults at the system and product levels
are addressed with specific examples. Session audience: - Hardware enginee
rs. - System engineers. See all available sessions at www.nxp.com/safetyac
ademy REGISTER
X-ALT-DESC;FMTTYPE=text/html:  \;  \; This session dives deeper into the ISO 26262 hardware developme
nt process\, the classification of hardware faults and the calculation of
the hardware architectural metrics. We also describe how NXP implements it
s safety architecture and how faults at the system and product levels are
addressed with specific examples. Session audience: - Hardware engineers.
- System engineers. See all available sessions at www.nxp.com/safetyacadem
y  \; REGISTER
CATEGORIES:Education
UID:20200914T1656480Z-511394-2264@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161213T130000
DTEND;TZID=America/Sao_Paulo:20161213T140000
SUMMARY:Embedded University - Class 1 - Determine Which Processor is Right
for your IoT Application
DESCRIPTION:All processors are the same\, right? Well\, not exactly. In fac
t\, they vary greatly in terms of price\, performance\, power consumption\
, and a host of other features that can make or break your design. In Day
1 of this three-part class\, we will go over different design requirements
and where the Intel Atom processor fits. Then we'll get into the feature
set that's best for your specific IoT application: performance\, security\
, media processing\, image processing\, time coordinated\, computing\, etc
. Day 1 sponsored by Intel. REGISTER NOW FOR CLASS 1
X-ALT-DESC;FMTTYPE=text/html: All processor
s are the same\, right? Well\, not exactly. In fact\, they vary greatly in
terms of price\, performance\, power consumption\, and a host of other fe
atures that can make or break your design. In Day 1 of this three-part cla
ss\, we will go over different design requirements and where the Intel Ato
m processor fits. Then we'll get into the feature set that's best for your
specific IoT application: performance\, security\, media processing\, ima
ge processing\, time coordinated\, computing\, etc. Day 1 sponsored by Int
el.  \; REGISTER NOW FOR CLASS
1
CATEGORIES:Education
UID:20161117T1311460Z-511394-1139@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161208T150000
DTEND;TZID=America/Sao_Paulo:20161208T160000
SUMMARY:RF Fundamentals Part 2: Spectral Analysis
DESCRIPTION:Why this webcast is important: In Part 2 of RF Fundamentals\, w
e will continue our discussion of measurement challenges associated with t
he RF signal chain. We will discuss swept tuned and FFT receiver technique
s and optimization of spectral search techniques\, including RBW\, VBW and
dynamic range criteria for the most accurate measurements. You will also
learn about distortion and noise contributions. Who should attend: Recent
engineering graduates\, experienced R&D engineers transitioning to RF\, or
technicians and engineers who are or will be involved in manufacturing te
st and/or design and simulation. Register now for this live webcast
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important: In Part 2 of RF
Fundamentals\, we will continue our discussion of measurement challenges
associated with the RF signal chain. We will discuss swept tuned and FFT r
eceiver techniques and optimization of spectral search techniques\, includ
ing RBW\, VBW and dynamic range criteria for the most accurate measurement
s. You will also learn about distortion and noise contributions. Who shoul
d attend: Recent engineering graduates\, experienced R&\
;D engineers transitioning to RF\, or technicians and engineers who are or
will be involved in manufacturing test and/or design and simulation.
CATEGORIES:Education
UID:20161201T1629490Z-511394-1148@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161202T110000
DTEND;TZID=America/Sao_Paulo:20161202T120000
SUMMARY:Smart and efficient LED solutions optimized for horticultural syste
ms
DESCRIPTION:Join our webinar on optimum LED combinations for horticultural
applications and discover how you can achieve all of this with Infineon –
with the added bonus of easy design-in. What You'll Learn: What is a h
orticultural lighting system? Infineon's contribution to as a full system
solution EBV contribution to as a full system solution Infineon's product
portfolio adressing LED lighting EBV product portfolio adressing LED light
ing Who Should Attend: Application Engineers Test Engineers Product Mark
eting Technical Marketing Sales Organization
X-ALT-DESC;FMTTYPE=text/html: Join our webinar on optimum LED combinations for horticultu
ral applications and discover how you can achieve all of this with Infineo
n &ndash\; with the added bonus of easy design-in.
span>  \; What You'll Learn:  \; <
ul> What is a
horticultural lighting system? Infineon's contribution to as a full system so
lution EBV contribution to as a full system solution Infineon's product portfol
io adressing LED lighting EBV product portfolio adressing LED lighting  \; Who Should Attend:
- Applicat
ion Engineers
- Test Engineers
- Product Marketing
- Technical Marketing
Sales Organization
 \; <
/span>
CATEGORIES:Education
UID:20161201T1635060Z-511394-1150@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161207T160000
DTEND;TZID=America/Sao_Paulo:20161207T170000
SUMMARY:Cloud ERP Streamlines Operations in an Era of IIoT Data
DESCRIPTION: How will manufacturers absorb and manage the huge amounts o
f industrial plant-floor data made available by IIoT sensor and connectivi
ty advances? Structured and unstructured data\, aggregated and analyzed\,
will ultimately reside in Enterprise Resource Planning (ERP) business syst
ems. Cloud ERP will play an important role in helping decision makers in m
anufacturing and supply chain interpret and act on real-time data. As impo
rtant\, ERP systems must support the processes necessary for success in pr
oduct sectors that are increasingly services-based. Tune into this webcast
to learn how mid-sized companies are preparing to manage an avalanche of
data. Learning Objectives: Understand how cloud computing is changing the
way plant floor data is collected\, managed and analyzed. Learn how Enterp
rise Resource Planning (ERP) will be utilized in conjunction with cloud co
mputing in manufacturing. Learn how manufacturing operations and managemen
t will have to change to take full advantage of the improved data and anal
ytics available from ERP systems. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;
 \; How will manufacturers absorb and manage the h
uge amounts of industrial plant-floor data made available by IIoT sensor a
nd connectivity advances? Structured and unstructured data\, aggregated an
d analyzed\, will ultimately reside in Enterprise Resource Planning (ERP)
business systems. Cloud ERP will play an important role in helping decisio
n makers in manufacturing and supply chain interpret and act on real-time
data. As important\, ERP systems must support the processes necessary for
success in product sectors that are increasingly services-based. Tune into
this webcast to learn how mid-sized companies are preparing to manage an
avalanche of data. Le
arning Objectives: -
Understand how cloud computing is changing the way plant floor data is
collected\, managed and analyzed. -
Learn how Enterpri
se Resource Planning (ERP) will be utilized in conjunction with cloud comp
uting in manufacturing. -
Learn how manufacturing opera
tions and management will have to change to take full advantage of the imp
roved data and analytics available from ERP systems. REGISTER NOW
CATEGORIES:Education
UID:20161201T1627190Z-511394-1147@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161208T130000
DTEND;TZID=America/Sao_Paulo:20161208T140000
SUMMARY:Edge Meet Enterprise
DESCRIPTION: Look under the hood of many IoT solution providers and you’ll
find incomplete solutions. Shortcomings are commonly related to device ma
nageability\, security\, analytics\, integration with business application
s\, and centralized management of enterprise applications —particularly fo
r industrial companies that employ complex networks and devices and proces
ses in their facilities. This webinar will highlight the key processes and
software components of a truly end-to-end solution\, how to overcome the
challenge of extending the enterprise to the edge and develop a viable pat
h to monetizing IoT. You are invited to join Emeka Nwafor\, Senior Directo
r\, Global Alliances for Wind River and Harish Gaur\, Senior Director\, Pr
oduct Management for IoT at Oracle for a web seminar on how extending the
enterprise to the edge enables better decision making and real time respon
siveness to changing market conditions. Learn: How you can monetize your I
oT investments by leveraging pre-built IoT applications\, machine learning
libraries and the security features of the integrated platform How Wind R
iver and Oracle are collaborating around an integrated “Edge to Enterprise
” IoT platform Via a demonstration of a cloud based Edge to Enterprise IoT
application to drive predictive maintenance and reduce field service cost
s Speakers: Emeka Nwafor\, Senior Director\, Global Alliances\, Wind Riv
er Harish Gaur\, Senior Director\, Product Management\, Oracle Internet of
Things Moderator: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | Look under the hood of many IoT solution providers and you&rsquo\;l
l find incomplete solutions. Shortcomings are commonly related to device m
anageability\, security\, analytics\, integration with business applicatio
ns\, and centralized management of enterprise applications &mdash\;particu
larly for industrial companies that employ complex networks and devices an
d processes in their facilities. This webinar will highlight the key proce
sses and software components of a truly end-to-end solution\, how to overc
ome the challenge of extending the enterprise to the edge and develop a vi
able path to monetizing IoT. You are invited to join Emeka Nwafor\
, Senior Director\, Global Alliances for Wind River and Harish Gaur\, Seni
or Director\, Product Management for IoT at Oracle for a web seminar on ho
w extending the enterprise to the edge enables better decision making and
real time responsiveness to changing market conditions. Learn:
- How you can monetize your IoT investments by leveraging pre-bu
ilt IoT applications\, machine learning libraries and the security feature
s of the integrated platform
- How Wind River and Oracle are colla
borating around an integrated &ldquo\;Edge to Enterprise&rdquo\; IoT platf
orm
- Via a demonstration of a cloud based Edge to Enterprise IoT
application to drive predictive maintenance and reduce field service costs
 \; | Speakers: Emeka
Nwafor\, Senior Director\, Global Alliances\, Wind River Harish Gaur
\, Senior Director\, Product Management\, Oracle Internet of Things <
p style='color: #222222\;'>Moderator: \; Curt Sc
hwaderer\, OpenSystems Media | |
tbody>  \;
CATEGORIES:Education
UID:20161201T1644070Z-511394-1154@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161213T140000
DTEND;TZID=America/Sao_Paulo:20161213T150000
SUMMARY:Offshore Top 5 Projects - 2016
DESCRIPTION:The editors of Offshore have made their choices for the winners
of the Five Star Award – the top five offshore field development projects
for 2016. What You'll Learn: Updates on recent technologies for pro
duction and development in deepwater and other challenging offshore enviro
nments How key environmental and safety issues are being addressed The lat
est lessons learned that make remote and associated offshore fields econom
ically viable and accessible to market Who Should Attend: Executive manage
rs involved in the exploration\, development and production of oil and gas
in offshore regions Engineers Designers and consultants focused on the de
velopment of facilities and structures Geologists and geoscientists Other
industry professionals interested in keeping up to date with the latest ad
vances in offshore oil and gas exploration and development
X-ALT-DESC;FMTTYPE=text/html: The editors of Offshore have made their choices for the win
ners of the Five Star Award &ndash\; the top five offshore field developme
nt projects for 2016.  \;  \; What You'll Learn:  \; - Updates on recent techn
ologies for production and development in deepwater and other challenging
offshore environments
- How key environmental and safety issues are being addre
ssed
- The latest lessons learned that make remote and associated offshore fiel
ds economically viable and accessible to market
Who Should
Attend:
- Executi
ve managers involved in the exploration\, development and production of oi
l and gas in offshore regions
- Engineers
- Designers and consultants focused on the
development of facilities and structures
- Geologists and geoscientists
- Other in
dustry professionals interested in keeping up to date with the latest adva
nces in offshore oil and gas exploration and development
 \;
 \;
CATEGORIES:Education
UID:20161201T1638190Z-511394-1151@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161208T150000
DTEND;TZID=America/Sao_Paulo:20161208T160000
SUMMARY:Low-light detection: PMT vs. SiPM
DESCRIPTION:A photonic device called silicon photomultiplier (SiPM)\, also
known as multi-pixel photon counter (MPPC)\, is capable of detecting very
low light levels due to its high internal gain. The only other device with
this ability is a photomultiplier tube (PMT). This webinar is an unbiased
discussion of the two devices focusing on their technical aspects and app
lications. What You'll Learn: Science/Technology of SiPM and PMT Pros
and cons of SiPM vs PMT Application examples of SiPM and PMT An understand
ing of the selection process Who Should Attend: Academics - Students\, P
rofessors\, etc. Engineers Project and Product Managers Researchers and R&
D
X-ALT-DESC;FMTTYPE=text/html: A photonic device called sili
con photomultiplier (SiPM)\, also known as multi-pixel photon counter (MPP
C)\, is capable of detecting very low light levels due to its high interna
l gain. The only other device with this ability is a photomultiplier tube
(PMT). This webinar is an unbiased discussion of the two devices focusing
on their technical aspects and applications.
p>  \; What You'll Learn:  \; - Science/Technology of SiPM and PMT
- Pros and cons of
SiPM vs PMT
- Application examples of SiPM and PMT
- An understanding of the select
ion process
 \;
p> Who Should Attend:
p> - Acade
mics - Students\, Professors\, etc.
- Engineers
- Project and Product Managers
- Researc
hers and R&\;D
<
a href='http://ea.ecn5.com/Clicks/NHZiYWJRbkdxdmh3a2xITFZZVU4yd2p6eS9SM1ox
WXhSZVQ4bTNqWmJ2ZHFLWC91Z1ZwMDZMR2IvamYxYzZQU0Q5RkFwMlJjOXVVZ29OZFcyOEhpaV
E9PQ%3d%3d'>
span>
CATEGORIES:Education
UID:20161201T1632450Z-511394-1149@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161207T103000
DTEND;TZID=America/Sao_Paulo:20161207T113000
SUMMARY:Desenvolvimento de produtos utilizando exploração de design e engen
haria avançada
DESCRIPTION:O momento da engenharia de desenvolvimento de produtos no Brasi
l é específico e peculiar. Por um lado\, o mercado está retomando o cresci
mento e necessidade de se diferenciar dos concorrentes é iminente. Por out
ro lado\, o cenário recente resultou em redução dos recursos e engenheiros
capacitados. A pergunta agora é: como desenvolver um produto inovador que
me diferencie dos concorrentes com os poucos recursos existentes da minha
empresa? A resposta passa pelo fato de que falta de recursos não se resol
ve com mais recursos e sim com estratégia. Neste Webinar\, os especialista
s da Siemens vão apresentar as ferramentas de simulação virtual e exploraç
ão de design com foco em engenharia de desenvolvimento de produto. Através
da exploração de design e otimização paramétrica inteligente com o algori
tmo SHERPA\, a engenharia de desenvolvimento consegue buscar o melhor prod
uto utilizando o mínimo de recursos e com estratégia. Este Webinar se dest
ina a todos os engenheiros e gerentes de engenharia que de alguma forma es
tão conectados com desenvolvimento de produtos inovadores. Nele será apres
entada a ferramenta HEEDS utilizada em exploração de design em ciclos de a
nálises CAE\, acoplando diversas ferramentas de simulação em processos par
a buscar a melhor solução. . REGISTRO
X-ALT-DESC;FMTTYPE=text/html: O momento da engenharia de
desenvolvimento de produtos no Brasil é\; especí\;fico e pecul
iar. Por um lado\, o mercado está\; retomando o crescimento e necess
idade de se diferenciar dos concorrentes é\; iminente. Por outro lad
o\, o cená\;rio recente resultou em reduç\;ã\;o dos recu
rsos e engenheiros capacitados. A pergunta agora é\;: como desenvolv
er um produto inovador que me diferencie dos concorrentes com os poucos re
cursos existentes da minha empresa? A resposta passa pelo fato de que falt
a de recursos nã\;o se resolve com mais recursos e sim com estrat&ea
cute\;gia. Neste Webinar\, os especi
alistas da Siemens vã\;o apresentar as ferramentas de simulaç\
;ã\;o virtual e exploraç\;ã\;o de design com foco em eng
enharia de desenvolvimento de produto. Atravé\;s da exploraç\;
ã\;o de design e otimizaç\;ã\;o paramé\;trica inte
ligente com o algoritmo SHERPA\, a engenharia de desenvolvimento consegue
buscar o melhor produto utilizando o mí\;nimo de recursos e com estr
até\;gia. Este Webinar se dest
ina a todos os engenheiros e gerentes de engenharia que de alguma forma es
tã\;o conectados com desenvolvimento de produtos inovadores. Nele se
rá\; apresentada a ferramenta HEEDS utilizada em exploraç\;&at
ilde\;o de design em ciclos de aná\;lises CAE\, acoplando diversas f
erramentas de simulaç\;ã\;o em processos para buscar a melhor
soluç\;ã\;o. . REGISTRO
CATEGORIES:Education
UID:20161201T1647590Z-511394-1155@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161215T160000
DTEND;TZID=America/Sao_Paulo:20161215T170000
SUMMARY:Smart manufacturing\, IIoT\, Industrie 4.0 Case Studies
DESCRIPTION: Organizations for the Industrial Internet of Things (IIoT)\,
Industrie 4.0\, and smart manufacturing architectures have been working on
test beds to help prove benefits. What can you learn? What attributes sho
uld you adapt or ignore\, and why? What does recent research explain about
understanding IIoT-related topics? An RCEP Professional Development Hour
(PDH) is available for webcast attendees. Learning objectives: Recognize
project scope and goals of test beds at Smart Manufacturing Leadership Co
nsortium (SMLC) Identify parts of each platform the case study demonstrate
s Quantify benefits produced\, or expected\, from the case study\, based o
n integrated technologies used Adapt best practices based on lessons learn
ed to a future implementation Review other industry test beds and research
and trends from recently released IIoT and Industrie 4.0 research from Co
ntrol Engineering and Plant Engineering. Featured Speaker: Thomas F. Edgar
\, Ph.D.\, director\, The University of Texas at Austin Energy Institute\,
George T. and Gladys H. Abell Chair in Engineering and Jack S. Josey Prof
essorship in Energy Studies\, and co-founder of the Smart Manufacturing Le
adership Coalition (SMLC)\, which develops software tools for saving energ
y in industrial plants. Dr. Edgar is professor of Chemical Engineering at
the University of Texas at Austin and Director of the UT Energy Institute.
Speaker and moderator: Mark T. Hoske\, content manager for Control Engine
ering\, also representing Plant Engineering and Oil & Gas Engineering for
this webcast\, has written and edited automation\, control\, instrumentati
on and related topics since 1994 and on industrial topics since 1987. CLIC
K HERE TO REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; Organizations for the Industr
ial Internet of Things (IIoT)\, Industrie 4.0\, and smart manufacturing ar
chitectures have been working on test beds to help prove benefits. What ca
n you learn? What attributes should you adapt or ignore\, and why? What do
es recent research explain about understanding IIoT-related topics? An RCE
P Professional Development Hour (PDH) is available for webcast attendees.<
/p>  \; Learning objectives:
- Recognize project scope and goals of test beds at Smart Ma
nufacturing Leadership Consortium (SMLC)
- Identify parts of each
platform the case study demonstrates
- Quantify benefits produced\
, or expected\, from the case study\, based on integrated technologies use
d
- Adapt best practices based on lessons learned to a future impl
ementation
- Review other industry test beds and research and tren
ds from recently released IIoT and Industrie 4.0 research from Control
Engineering and Plant Engineering.
Featured Speaker: Thomas F. Edgar\, Ph.D.\, director\, The
University of Texas at Austin Energy Institute\, George T. and Gladys H. A
bell Chair in Engineering and Jack S. Josey Professorship in Energy Studie
s\, and co-founder of the Smart Manufacturing Leadership Coalition (SMLC)\
, which develops software tools for saving energy in industrial plants. Dr
. Edgar is professor of Chemical Engineering at the University of Texas at
Austin and Director of the UT Energy Institute. Sp
eaker and moderator: Mark T. Hoske\, content manager for Control Engineering\, also representing Plant Engineering
and Oil &\; Gas Engineering for this webcast\, has written and
edited automation\, control\, instrumentation and related topics since 19
94 and on industrial topics since 1987. C
LICK HERE TO REGISTER NOW
CATEGORIES:Education
UID:20161201T1650490Z-511394-1156@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170504T130000
DTEND;TZID=America/Sao_Paulo:20170504T140000
SUMMARY:Meeting DC-DC Requirements in Defense Applications
DESCRIPTION:Designing power systems for defense applications is challenging
. Research as shown that power engineers in this market are more likely t
o prioritize performance\, struggle to meet project deadlines and have pro
blems meeting EMI requirements more so than those in other industries. Our
latest webinar\, Meeting DC-DC Power System Requirements in Defense Appli
cations\, explains how to overcome many of the challenges associated with
defense power system design. During the webinar\, Vamshi Domudala\, a Vico
r system application engineer\, will explain standards that need to be met
\; layout techniques and filters that reduce noise\; and how to benefit fr
om power components that have been optimized for defense applications. Reg
ister now at a time to suit you\, and learn how to meet challenging defens
e system requirements: Thursday\, May 4 at 8 a.m. EDT Thursday\, May 4 at
11 a.m. EDT If you can't attend\, please register and we will send you the
link to the rebroadcast version as soon as it's available.
X-ALT-DESC;FMTTYPE=text/html: Designing power systems for defense
applications is challenging.  \;Research as shown that power engineers
 \;in this market are more likely to prioritize performance\, struggle
to meet project deadlines and have problems meeting EMI requirements more
so than those in other industries. Our latest webinar\, Meeting DC-DC Power System Requirements in Defense Applicatio
ns\, explains how to overcome many of the challenges associated with d
efense power system design. During the webinar\, Vamshi Domudala\, a Vicor system application engineer\, will exp
lain standards that need to be met\; layout techniques and filters that re
duce noise\; and how to benefit from power components that have been optim
ized for defense applications. Register now at a time
to suit you\, and learn how to meet challenging defense system requirement
s: Thursday\, May 4 \;at 8 a.m. EDT<
br /> Thursday\, May 4 \;at 11 a.m. EDT If you can't attend\, please register and we will \;send you the link
to the rebroadcast version as soon as it's available.
CATEGORIES:General
UID:20170428T1647060Z-511394-1355@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170627T160000
DTEND;TZID=America/Sao_Paulo:20170627T170000
SUMMARY:High Precision and Accurate Sensing at Any Flow Rate
DESCRIPTION: There are challenges in achieving high precision and accurate
sensing at low to high flow rates in metering applications such as flow m
eters as well as distance measurement and level detection applications. Ul
trasonic sensing technology is outstanding at measuring flow velocity and
using an integrated analog-to-digital converter (ADC) provides the ability
to accurately measure flow even at the lowest rates. This webinar will re
view ultrasonic sensing technology\, the ADC implementation and examples u
sing Texas Instruments MSP430 microcontrollers\, tools and software. Speak
ers: Srinivas Lingam\, Systems and Applications Engineer\, MSP430 Microcon
trollers\, Texas Instruments Shailesh Thakurdesai\, Marketing Manager\, MS
P430 Microcontrollers\, Texas Instruments Moderator: Curt Schwaderer\, Op
enSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | There are challenges in achieving high precis
ion and accurate sensing at low to high flow rates in metering application
s such as flow meters as well as distance measurement and level detection
applications. Ultrasonic sensing technology is outstanding at measuring fl
ow velocity and using an integrated analog-to-digital converter (ADC) prov
ides the ability to accurately measure flow even at the lowest rates. This
webinar will review ultrasonic sensing technology\, the ADC implementatio
n and examples using Texas Instruments MSP430 microcontrollers\, tools and
software. | Speakers: Srinivas Lingam\, Sy
stems and Applications Engineer\, MSP430 Microcontrollers\, Texas Instrume
nts Shailesh Thakurdesai\, Marketing Manager\, MSP430 Microcontrolle
rs\, Texas Instruments Moderator:
 \; Curt Schwaderer\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20170428T1650290Z-511394-1356@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170504T130000
DTEND;TZID=America/Sao_Paulo:20170504T140000
SUMMARY:Desenvolva sua distribuição de Linux Embarcado para processadores N
XP i.MX com Yocto Project – Parte 1 Introdução
DESCRIPTION:Olá\, Registre-se gratuitamente para nosso webinar 'Desenvo
lva sua distribuição de Linux Embarcado para processadores NXP i.MX com Yo
cto Project – Parte 1 Introdução' . Interessado em preparar uma imagem de
Linux customizada para seu produto? Os engenheiros de aplicação da Toradex
\, Raul Muñoz e Leonardo Veiga\, demonstrarão como você pode utilizar o Yo
cto Project e suas ferramentas para configurar\, construir e manter uma im
agem de Linux especializada – incluindo o bootloader\, Kernel\, root files
ystem e pacotes de software. O Webinar será realizado em duas partes. A pa
rte 1 oferece uma introdução ao Projeto Yocto seguida por um sumário sobre
as ferramentas associadas a ele. Os apresentadores vão mostrar como fazer
a configuração de um ambiente de build e como compilar a imagem padrão de
demonstração da Toradex. Essa demonstração também inclui a descrição de c
onfigurações básicas e um resumo de como pacotes e imagens são definidos e
compilados. Após a construção da imagem\, será demonstrado como colocar a
imagem gerada no módulo da Toradex e como configurar o ambiente de desenv
olvimento para gerar software para a mesma. Nota: Ao final do webinar have
rá tempo para perguntas e respostas Saudações\, Time da Toradex
X-ALT-DESC;FMTTYPE=text/html: Ol&aacu
te\;\,  \; |  \; | Registre-se gratuitamente para nosso webinar 'Desenvolva su
a distribuiç\;ã\;o de Linux Embarcado para processadores NXP i
.MX com Yocto Project &ndash\; Parte 1 Introduç\;ã\;o' . Interessa
do em preparar uma imagem de Linux customizada para seu produto? Os engenh
eiros de aplicaç\;ã\;o da Toradex\, Raul Muñ\;oz e Leona
rdo Veiga\, demonstrarã\;o como você\; pode utilizar o Yocto Pr
oject e suas ferramentas para configurar\, construir e manter uma imagem d
e Linux especializada &ndash\; incluindo o bootloader\, Kernel\, root file
system e pacotes de software. O Webinar será\; realizado em duas par
tes. A parte 1 oferece uma introduç\;&
atilde\;o ao Projeto Yocto seguida por um sumá\;rio sobre as ferrame
ntas associadas a ele. Os apresentadores vã\;o mostrar como fazer a
configuraç\;ã\;o de um ambiente de build e como compilar a ima
gem padrã\;o de demonstraç\;ã\;o da Toradex. Essa demons
traç\;ã\;o també\;m inclui a descriç\;ã\;o d
e configuraç\;õ\;es bá\;sicas e um resumo de como pacote
s e imagens sã\;o definidos e compilados. Apó\;s a constru&cce
dil\;ã\;o da imagem\, será\; demonstrado como colocar a imagem
gerada no mó\;dulo da Toradex e como configurar o ambiente de desen
volvimento para gerar software para a mesma. Nota: Ao final
do webinar haverá\; tempo para perguntas e respostas  \; |
&n
bsp\; | Saudaç\;õ\;es\, \; Time da Toradex |
tr> |  \; |
CATEGORIES:Education
UID:20170428T2116150Z-511394-1357@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171212T160000
DTEND;TZID=America/Sao_Paulo:20171212T170000
SUMMARY:Key Power Measurements for Switch Mode Power Supplies
DESCRIPTION:There are many different types and sizes of power supplies from
traditional analog types to high-efficiency switch-mode power supplies. H
owever\, all of these power supply types face a complex\, dynamic operatin
g environment. Join Wilson Lee\, Technical Marketing Manager at Tektronix\
, as he discusses: how to verify power supplies perform in-depth charact
erization with a variety of power measurements REGISTER
X-ALT-DESC;FMTTYPE=text/html: There are many different types and sizes of
power supplies from traditional analog types to high-efficiency switch-mo
de power supplies. However\, all of these power supply types face a comple
x\, dynamic operating environment. Join Wilson Lee\, Technical Mar
keting Manager at Tektronix\, as he discusses: \; \; how to verify power supplies - perform in-depth characterization
with a variety of power measurements
REGISTER
CATEGORIES:Education
UID:20171210T2054410Z-511394-1673@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180731T140000
DTEND;TZID=America/Sao_Paulo:20180731T150000
SUMMARY:Altium - PDN ANALYZER™ 2.0
DESCRIPTION: Você já teve problemas com queda de tensão na PCB? E rompim
ento de trilhas por sobre corrente? Você sabe exatamente quantas vias tem
que usar nas linhas de alimentação ou por onde a corrente está circulando
no seu circuito? Participe do nosso webinar e veja como o PDN ANALYZER p
ode te ajudar a ter todas essas respostas. Inscreva-Se
X-ALT-DESC;FMTTYPE=text/html:  \;  \; Você\; j&
aacute\; teve problemas com queda de tensã\;o na PCB? E rompimento d
e trilhas por sobre corrente? Você\; sabe exatamente quantas vias tem
que usar nas linhas de alimentaç\;ã\;o ou por onde a corrente
está\; circulando no seu circuito?  \;
Participe do nosso webinar e veja como o PDN ANALYZER pode te ajudar a ter
todas essas respostas. \;  \;
CATEGORIES:Education
UID:20180725T1912350Z-511394-1818@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180619T130000
DTEND;TZID=America/Sao_Paulo:20180619T140000
SUMMARY: Optimize Vehicle Electronics Designs with the Right Network and Po
wer Supply ICs
DESCRIPTION:Overview: Fast and reliable network communications and dependab
lepower supplies are the backbone of the modern vehicle’s electronic desig
n. The Infineon “Application Compass 4 Products” is a tool for evaluating
the transceivers and power supply ICs that enable cost-effective high-perf
ormance modules for vehicle applications. This webinar details how the Com
pass helps engineersnavigate the complexity of network and power supply IC
selection to design optimal vehicle electronics for passenger and commerci
al vehicles including freight trucks\, agricultural equipment\, constructi
on\, and recreation vehicles. You will learn about: Local Interconnect Net
work (LIN) and Controller Area Network (CAN) connectivity and enhanced CAN
versions for high speed and energy efficiency The role of high-performanc
e voltage regulatorsin your design How to use the “Application Compass 4 P
roducts” to find the right parts for your project Register Now
X-ALT-DESC;FMTTYPE=text/html: Ove
rview: | Fast and reliable \;network communications \;and dependablepower supplies \;are the backbone o
f the modern vehicle&rsquo\;s electronic design. The Infineon \;&ldquo\;Application Compass 4 Products&rdquo\; \;is a tool
for evaluating the transceivers and power supply ICs that enable \;cost-effective high-performance modules \;for \;vehicle applications.
This webinar details how the
Compass helps engineersnavigate the complexity of network and pow
er supply ICselection \;to design optimal vehicle electronics
for passenger and commercial vehicles including freight trucks\, agricult
ural equipment\, construction\, and recreation vehicles. You
will learn about: -
Local Interconnect Network 
\;(LIN) \;and Controller Area Network \;(
CAN) \;connectivity and enhanced \;CAN v
ersions for \;high speed and energy efficiency <
/li> -
The role of \;high-performance voltage regulato
rsin your design -
How to use the &ldquo\;Appl
ication Compass 4 Products&rdquo\; \;to find the 
\;right parts for your project |
Register Now
CATEGORIES:Education
UID:20180602T2209300Z-511394-1801@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171005T130000
DTEND;TZID=America/Sao_Paulo:20171005T140000
SUMMARY:IoT in Security: There's More at Risk Than You Can Afford to Lose
DESCRIPTION:Summary Some people look at how many devices are connected to t
he Internet of Things and they have a vision of all of the wonderful ways
the IoT can make our lives better. Then there are those who look at the I
oT and see the gapping security holes and the unparalleled risks that so m
any companies are taking unknowingly. In this episode\, we’ll explore wha
t security means in terms of the IoT. We’ll look at the security vulnerab
ilities waiting for the unwary\, from simple things like having your produ
cts hacked to nightmares such as protecting user personal data to avoid th
e heavy fines of laws like the General Data Protection Regulation (GDPR) t
o catastrophes that can shut your company down like having mission-critica
l medical and transportation devices fail. We’ll discuss barriers to effec
tive security and what you’ll want to consider when building out an IoT-ba
sed ecosystem. Engineering the Internet of Things with Nick Cravotta reach
es beyond the hype to explore the edges of what’s possible in the Internet
of Things and how we’re going to get there. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Some people look at how many devices are connecte
d to the Internet of Things and they have a vision of all of the wonderful
ways the IoT can make our lives better. \; Then there are those who l
ook at the IoT and see the gapping security holes and the unparalleled ris
ks that so many companies are taking unknowingly. \; In this episode\,
we&rsquo\;ll explore what security means in terms of the IoT. \; We&r
squo\;ll look at the security vulnerabilities waiting for the unwary\, fro
m simple things like having your products hacked to nightmares such as pro
tecting user personal data to avoid the heavy fines of laws like the Gener
al Data Protection Regulation (GDPR) to catastrophes that can shut your co
mpany down like having mission-critical medical and transportation devices
fail. We&rsquo\;ll discuss barriers to effective security and what you&rs
quo\;ll want to consider when building out an IoT-based ecosystem. Engineering the Internet of Things with N
ick Cravotta reaches beyond the hype to explore the edges of what&rsquo\;s
possible in the Internet of Things and how we&rsquo\;re going to get ther
e.  \; REGISTER
CATEGORIES:Education
UID:20170923T2352130Z-511394-1574@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170316T160000
DTEND;TZID=America/Sao_Paulo:20170316T170000
SUMMARY:Understanding Step Motors and Closed Loop Feedback
DESCRIPTION:Step motors are popular because they are low cost and easy to u
se. But experienced users know that the first time you violate the laws of
physics and demand too much torque from the motor\, the step motor will s
tall without warning. By adding an encoder to the system\, step motors can
be operated closed loop and traditional limitations can be avoided. Atte
nd this webinar to understand how encoders are used to improve the perform
ance of step motors\, from the most basic position verification methods to
the most advanced servo control techniques. Every user of step motors wil
l learn something useful in this presentation. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Step motors
are popular because they are low cost and easy to use. But experienced use
rs know that the first time you violate the laws of physics and demand too
much torque from the motor\, the step motor will stall without warning. B
y adding an encoder to the system\, step motors can be operated closed loo
p and traditional limitations can be avoided.  \;Attend this webinar t
o understand how encoders are used to improve the performance of step moto
rs\, from the most basic position verification methods to the most advance
d servo control techniques. Every user of step motors will learn something
useful in this presentation.  \; REGISTER
CATEGORIES:Education
UID:20170310T1634560Z-511394-1265@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170321T160000
DTEND;TZID=America/Sao_Paulo:20170321T170000
SUMMARY: Designing Disinfectant-Ready Medical Devices
DESCRIPTION:Frequent exposure to aggressive disinfectants and drugs\, as we
ll as repeated physical strain\, damages medical device housings and hardw
are made with commonly used materials. Choosing a material that can withst
and these harsh conditions without compromising durability is essential to
preventing cracks\, crazes\, and premature failure and prolonging housing
longevity. Designing devices meant to last longer than the competition re
quires a close look at why commonly used materials are failing and an unde
rstanding of how different plastics perform in the environment in which th
ey will be used. Using the right testing protocol to determine answers to
these critical questions is vital. In this 60-minute Webinar\, Eastman exp
erts will unveil a new 4-step testing protocol that identifies weak points
earlier in the development process\, allowing for easier adjustments to d
evices prior to going to market. For more information and to register\, cl
ick here.
X-ALT-DESC;FMTTYPE=text/html: Frequent exposure to aggressive disinfectan
ts and drugs\, as well as repeated physical strain\, damages medical devic
e housings and hardware made with commonly used materials. Choosing a mate
rial that can withstand these harsh conditions without compromising durabi
lity is essential to preventing cracks\, crazes\, and premature failure an
d prolonging housing longevity.
Designing devices meant to la
st longer than the competition requires a close look at why commonly used
materials are failing and an understanding of how different plastics perfo
rm in the environment in which they will be used. Using the right testing
protocol to determine answers to these critical questions is vital.
In this 60-minute Webinar\, Eastman experts will unveil a new 4-ste
p testing protocol that identifies weak points earlier in the development
process\, allowing for easier adjustments to devices prior to going to mar
ket. For more information and to register\, click here.
CATEGORIES:Education
UID:20170310T1402410Z-511394-1256@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170323T150000
DTEND;TZID=America/Sao_Paulo:20170323T160000
SUMMARY:Demystifying Vias in High-Speed PCB Design
DESCRIPTION:Why this webcast is important: In PCB designs\, vias are virtua
lly everywhere and come in various types\, whether signal\, ground\, or th
ermal vias\, as well as through-hole\, blind\, and buried vias for manufac
turing technology. There are even single-ended or differential vias for si
gnaling purpose. No matter how the vias are classified\, it is important t
o note that they play an important role in the PCB\, especially in high-sp
eed designs. This webcast discusses the basics of high speed PCB via desig
ns such as via pads\, anti-pads\, return currents\, stubs\, and crosstalk
using electrical and Electro-Magnetic (EM) modeling approaches. Who should
view this webcast: PCB designers wanting to gain a greater knowledge of v
ias and how to properly utilize them in PCB design. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Why this webcast is important: In PCB designs\, via
s are virtually everywhere and come in various types\, whether signal\, gr
ound\, or thermal vias\, as well as through-hole\, blind\, and buried vias
for manufacturing technology. There are even single-ended or differential
vias for signaling purpose. No matter how the vias are classified\, it is
important to note that they play an important role in the PCB\, especiall
y in high-speed designs. This webcast discusses the
basics of high speed PCB via designs such as via pads\, anti-pads\, retur
n currents\, stubs\, and crosstalk using electrical and Electro-Magnetic (
EM) modeling approaches. Who should view th
is webcast: PCB designers wanting to gain a greater knowle
dge of vias and how to properly utilize them in PCB design. REGISTE
R
CATEGORIES:Education
UID:20170310T1642200Z-511394-1268@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170323T130000
DTEND;TZID=America/Sao_Paulo:20170323T140000
SUMMARY:Fundamentals of Clocks
DESCRIPTION:Co-sponsored by Analog Devices and Mouser. This webcast will pr
ovide an introduction to clocks and frequency synthesis\, clock terminolog
y\, timing and common applications. We will discuss Phase-locked loops (PL
L)\, Analog PLLs\, Digital PLLs and Direct Digital Synthesis. Who should a
ttend: Students and younger engineers who are at the beginning of their ca
reers. More experienced engineers who are in a mentorship role that need t
o educate younger engineers. Also\, engineers who might be moving into a n
ew product or application area. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Co-sponsored by Analog Devices and Mouser. This webca
st will provide an introduction to clocks and frequency synthesis\, clock
terminology\, timing and common applications. We will discuss Phase-locked
loops (PLL)\, Analog PLLs\, Digital PLLs and Direct Digital Synthesis. Who should at
tend: Students and younger engineers who are at the beginning of
their careers. More experienced engineers who are in a mentorship role tha
t need to educate younger engineers. Also\, engineers who might be moving
into a new product or application area. REGISTER
CATEGORIES:General
UID:20170310T1639310Z-511394-1267@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170207T170000
DTEND;TZID=America/Sao_Paulo:20170207T180000
SUMMARY:Connecting Data to the Cloud: It Takes a Village
DESCRIPTION: Linking the data collected from sensors at the edge of an IoT
deployment up to the cloud is no small feat. There is no single vendor so
lution to do this effectively. Additionally\, keeping up with the evolving
standards and protocols associated with connecting each of the technologi
es from edge to cloud is almost a full time job unto itself. In this cours
e\, industry expert Paul Pickering will show you the components making up
an end to end IoT solution and how they interface which each other and the
cloud. He'll also cover the different options for transporting data and d
escribe some of the services most commonly accessed in the cloud for an Io
T application. You'll walk away understanding the value of creating a pa
rtner ecosystem to address the individual technology components in your Io
T solution. You'll also get tips and best practices to get you started.
http://vshow.on24.com/vshow/AvnetDemo/#content/1363745
X-ALT-DESC;FMTTYPE=text/html:  \; Linking the data collected from sensors at the edge of an IoT d
eployment up to the cloud is no small feat. There is no single vendor solu
tion to do this effectively. Additionally\, keeping up with the evolving s
tandards and protocols associated with connecting each of the technologies
from edge to cloud is almost a full time job unto itself. In this course\
, industry expert Paul Pickering will show you the components making up an
end to end IoT solution and how they interface which each other and the c
loud. He'll also cover the different options for transporting data and des
cribe some of the services most commonly accessed in the cloud for an IoT
application.  \; You'll walk away understanding the value of creatin
g a partner ecosystem to address the individual technology components in y
our IoT solution. You'll also get tips and best practices to get you start
ed.  \; http://vshow.on24.com/vshow/AvnetDemo/#content/1363745
CATEGORIES:Education
UID:20170208T1643500Z-511394-1177@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170815T140000
DTEND;TZID=America/Sao_Paulo:20170815T150000
SUMMARY:COTS Signal Processing for Radar and Electronic Warfare Systems
DESCRIPTION: Sponsored by: REGISTER NOW Many of the feats accomplished by
modern military radar and electronic warfare (EW) systems are enabled by c
ommercial technology -- from the signal processors to FPGAs\, to analog to
digital converters & digital to analog converters\, to the board and syst
em level. Cognitive EW and multifunctional systems that marry radar and EW
processing capability in one box are some of the innovations fueled by th
ese open architecture and commercial-off-the-shelf designs. This e-cast of
industry experts will cover the performance advantages of COTS signal pro
cessing technology as well as the challenges such as obsolescence\, effici
ent thermal management\, and reducing size\, weight\, and power (SWaP). Sp
onsors: Abaco Systems\, Annapolis Micro Systems\, Curtiss-Wright\, Pentek
Moderator: John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | | Sponsored by: |
Many of the feats accomplished by modern military radar and
electronic warfare (EW) systems are enabled by commercial technology -- f
rom the signal processors to FPGAs\, to analog to digital converters &\
; digital to analog converters\, to the board and system level. Cognitive
EW and multifunctional systems that marry radar and EW processing capabili
ty in one box are some of the innovations fueled by these open architectur
e and commercial-off-the-shelf designs. This e-cast of industry ex
perts will cover the performance advantages of COTS signal processing tech
nology as well as the challenges such as obsolescence\, efficient thermal
management\, and reducing size\, weight\, and power (SWaP). |
tr> Sponsors: Abaco Systems\, Annapolis Micro Systems\, Cur
tiss-Wright\, Pentek Moderator:&n
bsp\; John McHale\, OpenSystems Media | REGISTER NOW | |  \;
CATEGORIES:Education
UID:20170215T1546260Z-511394-1218@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170228T150000
DTEND;TZID=America/Sao_Paulo:20170228T160000
SUMMARY:Laser Safety Products in All Their Variety
DESCRIPTION:In this webcast\, Senior Editor John Wallace will give an overv
iew of laser-safety technology and what to select for which purpose. Wha
t You'll Learn: Laser-beam hazards Laser safety product overview What ea
ch product type protects against Relating products to laser types Numerous
product examples Who Should Attend: Laser engineering Research and devel
opment Test and measurement engineering Applications engineering Engineeri
ng management
X-ALT-DESC;FMTTYPE=text/html: In this webcast\, Senior Edit
or John Wallace will give an overview of laser-safety technology and what
to select for which purpose.  \; W
hat You'll Learn:
strong>  \; - Laser-beam hazards
- Laser safety product overview
What each product
type protects against - Relating products to laser types
- Numerous product examples
 \;W
ho Should Attend: <
/p> - Laser engineering
- Research and development
li>
- Test and me
asurement engineering
- Applications engineering
- Engineering management
 \;
CATEGORIES:Education
UID:20170215T1543030Z-511394-1217@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170222T140000
DTEND;TZID=America/Sao_Paulo:20170222T150000
SUMMARY:Reliable Connectivity for IoT Devices
DESCRIPTION:Overview: This webcast will discuss key radio performance specs
for ensuring a reliable wireless connection for battery power IoT devices
. Devices operating in the global ISM bands raises certain RF challenges w
hich can lead to unreliable communication links. The webcast will describe
these challenges with a focus on the application impact. We'll discuss ho
w high performing radios resolve these issues and ensure reliable connecti
vity systems. Who Should Attend: Anyone interested in connecting a device
with a low power ISM band radio. Engineers in the following markets: IoT\,
Building Automation\, Industry 4.0\, and Home healthcare. Also\, Smart Ci
ty/Agriculture/Energy/Factory/Metering.
X-ALT-DESC;FMTTYPE=text/html: Overview: This webcast wil
l discuss key radio performance specs for ensuring a reliable wireless con
nection for battery power IoT devices. Devices operating in the global ISM
bands raises certain RF challenges which can lead to unreliable communica
tion links. The webcast will describe these challenges with a focus on the
application impact. We'll discuss how high performing radios resolve thes
e issues and ensure reliable connectivity systems.
Who Should Attend: Anyon
e interested in connecting a device with a low power ISM band radio. Engin
eers in the following markets: IoT\, Building Automation\, Industry 4.0\,
and Home healthcare. Also\, Smart City/Agriculture/Energy/Factory/Metering
.
|  \; | <
td id='empty12' align='left' valign='top' width='17' height='27'> \;
td> <
table class='co-style-table' style='margin: 0px\;' border='0' width='203'
cellspacing='0' cellpadding='0'> | | |  \; |
tr>  \;
CATEGORIES:Education
UID:20170215T1535180Z-511394-1215@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170221T160000
DTEND;TZID=America/Sao_Paulo:20170221T170000
SUMMARY:Benefits of Silicone Elastomers for Healthcare Applications
DESCRIPTION:When the human body requires support or artificial replacements
in order to function properly or to boost the healing process\, it is ess
ential that the materials employed meet the highest quality requirements.
Pure silicones support meeting these demands\, and their extraordinary pro
perties make them ideal for highly sensitive healthcare applications. In f
act\, many silicone elastomer products are designed specifically for the m
edical device market. This Webinar outlines the benefits of silicone elast
omers for healthcare applications. A selection of various silicones will b
e presented\, including a spotlight on recent innovations (e.g.\, a cathet
er balloon material). For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:When the huma
n body requires support or artificial replacements in order to function pr
operly or to boost the healing process\, it is essential that the material
s employed meet the highest quality requirements.
Pure silico
nes support meeting these demands\, and their extraordinary properties mak
e them ideal for highly sensitive healthcare applications. In fact\, many
silicone elastomer products are designed specifically for the medical devi
ce market.
This Webinar outlines the benefits of silicone ela
stomers for healthcare applications. A selection of various silicones will
be presented\, including a spotlight on recent innovations (e.g.\, a cath
eter balloon material). For more inf
ormation and to register\, cli
ck here.
CATEGORIES:Education
UID:20170215T1537180Z-511394-1216@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180816T130000
DTEND;TZID=America/Sao_Paulo:20180816T140000
SUMMARY:How RFID Can Generate Store Insights and Develop a Data-Driven Reta
il Space
DESCRIPTION:Retailers that have moved forward with RFID have seen tremendou
s ROI from improving their inventory accuracy to a 98% real-time read rate
. What is even more important\, those same retailers have seen a nearly 8.
7% increase in sales as a result. As consumer shopping behaviors and expec
tations have shifted dramatically in the digital age\, they now expect to
find whatever they want when they want it\, and RFID has helped retailers
to deliver on these expectations. This webinar will highlight the benefits
of implementing RFID: Improve inventory management Increase revenue and s
taff efficiency Enhance the instore customer experience Get continuous\, r
ealtime data from fixed overhead How RFID Can Generate Store Insights an
d Develop a Data-Driven Retail Space
X-ALT-DESC;FMTTYPE=text/html:Retailers that have moved forward with 
\;RFID&nb
sp\;have seen \;tremendous ROI \;from \;<
strong>improving their inventory accuracy \;to a 98% real-tim
e read rate. What is even more important\, those same retailers have seen
a nearly \;8.7% increase in sales \;as a result.<
br /> As \;consumer shopping behaviors and expectations h
ave shifted \;dramatically in the digital age\, they now expe
ct to find whatever they want when they want it\, and \;RFID h
as helped retailers to deliver on these expectations.
This webinar will highlight the \;benefits \;of i
mplementing RFID: - Improve inventory management
- In
crease revenue and staff efficiency
- Enhance the instore customer
experience
- Get continuous\, realtime data from fixed overhead
li>
 \; How RFID Can Generate Store Insights and Develop a Data-Driven Retail
Space
CATEGORIES:Education
UID:20180805T2105380Z-511394-1831@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180809T140000
DTEND;TZID=America/Sao_Paulo:20180809T150000
SUMMARY:Fiber Lasers Drive the Laser Market
DESCRIPTION:In just 20 years\, fiber lasers have taken over the lion’s shar
e of the industrial laser market. There are now almost endless options whe
n looking to purchase or use a fiber laser manufacturing tool. In this we
bcast\, Ron Schaeffer will give a brief history of the fiber laser\, where
these lasers are really suited for manufacturing (and where they are not!
)\, and what companies are offering as commercial product lines. What You'
ll Learn: • Review status of commercially available fiber lasers in low an
d high power • Discuss which types of applications benefit from using fibe
r lasers and why • Review several large applications and a few unique appl
ications • Review availability and technical specifications of available a
ll-fiber harmonic wavelength lasers and USP lasers • Take a look ahead Who
Should Attend: • Applied research and development br> • Laser and optical
engineering • Test and measurement engineering • Applications engineeri
ng • Engineering management Register Now>> Watch August 9\, 2018
X-ALT-DESC;FMTTYPE=text/html:In just 20 years\, fiber lasers have taken
over the lion&rsquo\;s share of the industrial laser market. There are now
almost endless options when looking to purchase or use a fiber laser manu
facturing tool.  \;In this webcast\, Ron Schaeffer will give a brief h
istory of the fiber laser\, where these lasers are really suited for manuf
acturing (and where they are not!)\, and what companies are offering as co
mmercial product lines.
&bull\; | Review status of commercially available fiber lasers in low and high po
wer | &bull\; | Dis
cuss which types of applications benefit from using fiber lasers and why
td> | &bull\; | Review s
everal large applications and a few unique applications |
&bull\; | Review availability and t
echnical specifications of available all-fiber harmonic wavelength lasers
and USP lasers | &bull\; | Take a look ahead |
&bull\; Applied research and development br>\; &bull\; Laser and opti
cal engineering \; &bull\; Test and measurement engineering \
; &bull\; Applications engineering \; &bull\; Engineering ma
nagement \; | Register Now>\;>\; Watch August 9\,
2018
CATEGORIES:Education
UID:20180805T2108430Z-511394-1832@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180814T150000
DTEND;TZID=America/Sao_Paulo:20180814T160000
SUMMARY:Tackling PAM4Challenges: If You Thought 100G Was Challenging\, Wait
Until You See 400G
DESCRIPTION:The move to PAM4 modulation presents validation and debug chall
enges. Learn how real-time oscilloscope-based solutions can address those
challenges by means of advanced equalization and digital clock recovery\,
effective error rate analysis\, and offline analysis capabilities. >> Read
More
X-ALT-DESC;FMTTYPE=text/html:The move to PAM4 modulation presents valida
tion and debug challenges. Learn how real-time oscilloscope-based solution
s can address those challenges by means of advanced equalization and digit
al clock recovery\, effective error rate analysis\, and offline analysis c
apabilities. \;>\;>\; R
ead More
CATEGORIES:Education
UID:20180805T2116560Z-511394-1834@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180815T150000
DTEND;TZID=America/Sao_Paulo:20180815T160000
SUMMARY:The Journey Toward Autonomous Vehicles
DESCRIPTION:Autonomous Driving is already being seen in many major cities a
cross the US. Learn how and why Autonomous Driving is taking off\, current
industry trends and needs\, as well as how Autonomous Vehicles utilize In
telligent Sensing\, Power Management\, Sensor Fusion\, and many more growi
ng technologies in their operations. >> Read More
X-ALT-DESC;FMTTYPE=text/html:Autonomous Driving is already being seen in
many major cities across the US. Learn how and why Autonomous Driving is
taking off\, current industry trends and needs\, as well as how Autonomous
Vehicles utilize Intelligent Sensing\, Power Management\, Sensor Fusion\,
and many more growing technologies in their operations. \;>\;>\; Read More
CATEGORIES:Education
UID:20180805T2119110Z-511394-1835@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180816T150000
DTEND;TZID=America/Sao_Paulo:20180816T160000
SUMMARY: Nonlinear Structural Mechanics Modeling
DESCRIPTION:Nonlinear structural analysis is concerned with structures wher
e structural response\, such as deformation or stress\, is not proportiona
l to inputs like applied loads. In this webinar\, we will discuss the buil
t-in and user-defined features that the COMSOL® software provides to captu
re nonlinear structural behavior. We'll highlight best practices\, give yo
u a live demo in the COMSOL Multiphysics® software\, and conclude with a Q
&A session. >> Read More
X-ALT-DESC;FMTTYPE=text/html:Nonlinear structural analysis is concerned
with structures where structural response\, such as deformation or stress\
, is not proportional to inputs like applied loads. In this webinar\, we w
ill discuss the built-in and user-defined features that the COMSOL®\; s
oftware provides to capture nonlinear structural behavior. We'll highlight
best practices\, give you a live demo in the COMSOL Multiphysics®\; so
ftware\, and conclude with a Q&\;A session. \;>\;>\; Read More
CATEGORIES:Education
UID:20180805T2121550Z-511394-1836@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180821T150000
DTEND;TZID=America/Sao_Paulo:20180821T160000
SUMMARY: How to Quickly Start Prototyping IoT Applications
DESCRIPTION:Prototyping your application always seems to take longer than y
ou want. Join us for a 15-30 minute Q/A discussion on how to prototype you
r IoT applications quickly and easily. >> Read More
X-ALT-DESC;FMTTYPE=text/html:Prototyping your application always seems t
o take longer than you want. Join us for a 15-30 minute Q/A discussion on
how to prototype your IoT applications quickly and easily. \;>\;>\; Read More
CATEGORIES:Education
UID:20180805T2124000Z-511394-1837@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180822T150000
DTEND;TZID=America/Sao_Paulo:20180822T160000
SUMMARY: Fundaments of Materials Measurement
DESCRIPTION:Every material is unique in terms of its electrical\, optical a
nd structural properties. Innovations in material science and engineering
form the basis for many next-generation technologies and products. This we
binar will discuss the various test methods for these innovations. >> Read
More
X-ALT-DESC;FMTTYPE=text/html:Every material is unique in terms of its el
ectrical\, optical and structural properties. Innovations in material scie
nce and engineering form the basis for many next-generation technologies a
nd products. This webinar will discuss the various test methods for these
innovations. \;>\;>\; R
ead More  \;
CATEGORIES:Education
UID:20180805T2126340Z-511394-1838@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180823T150000
DTEND;TZID=America/Sao_Paulo:20180823T160000
SUMMARY:Give Your Students the Edge with Industry-Relevant IoT Curriculum a
nd Free Tools and Tips
DESCRIPTION:The Internet of Things (IoT) is here to stay\, and engineering
students need to understand the concepts of IoT design and test\, and the
implications of connecting these IoT devices. Keysight has complete\, read
y-to-teach curricula available now\, and we have identified a number of ot
her free tools and tips to drive ECE student success. >> Read More
X-ALT-DESC;FMTTYPE=text/html:The Internet of Things (IoT) is here to sta
y\, and engineering students need to understand the concepts of IoT design
and test\, and the implications of connecting these IoT devices. Keysight
has complete\, ready-to-teach curricula available now\, and we have ident
ified a number of other free tools and tips to drive ECE student success.&
nbsp\;>\;>\; Read More<
/p>
CATEGORIES:Education
UID:20180805T2128040Z-511394-1839@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180814T130000
DTEND;TZID=America/Sao_Paulo:20180814T140000
SUMMARY:Desenvolvendo interfaces de usuário com Electron para Linux Embarca
do
DESCRIPTION:NOTA: O webinar será apresentado em português. No mercado atual
\, existem diversas ferramentas para criação de GUI (Graphical User Interf
aces)\, como Qt e GTK. O Electron é um framework para criação de aplicaçõe
s com aparência nativa usando tecnologias web como JavaScript\, HTML e CSS
. No curso deste Webinar\, você conhecerá mais sobre esta abordagem\, incl
uindo seus benefícios e pontos fracos. Com uma sessão prática\, demonstrar
emos como criar uma aplicação simples que simula uma IHM com um botão que\
, por sua vez\, aciona um IO digital no Colibri iMX7D 1GB e Aster Carrier
Board. O que você vai aprender: Como funciona a abordagem do Electron\; Co
mo suportar o Electron no Linux Embarcado\; Como desenvolver uma aplicação
básica utilizando o Electron\, HTML5 e Node.JS Após a apresentação\, ocor
rerá uma sessão interativa de perguntas e respostas. Cadastro 01:00 P.M.
- 02:00 P.M. (BRT) Cadastre-se aqui!
X-ALT-DESC;FMTTYPE=text/html: NOTA: O webinar
será\; apresentado em portuguê\;s. No mercado atual\, existem diversas ferramentas para criaç\;&a
tilde\;o de GUI (Graphical User Interfaces)\, como Qt e GTK. O Electron &e
acute\; um framework para criaç\;ã\;o de aplicaç\;&otild
e\;es com aparê\;ncia nativa usando tecnologias web como JavaScript\,
HTML e CSS. No curso deste Webinar\, você\; conhecerá\
; mais sobre esta abordagem\, incluindo seus benefí\;cios e pontos f
racos. Com uma sessã\;o prá\;tica\, demonstraremos como criar
uma aplicaç\;ã\;o simples que simula uma IHM com um botã
\;o que\, por sua vez\, aciona um IO digital no Colibri iMX7D 1GB e Aster
Carrier Board. O que você\; vai aprender: -
Como funciona a abordagem do Electron\;
- Como suportar o Electron
no Linux Embarcado\;
- Como desenvolver uma aplicaç\;&atild
e\;o bá\;sica utilizando o Electron\, HTML5 e Node.JS
<
p>Apó\;s a apresentaç\;ã\;o\, ocorrerá\; uma sess&
atilde\;o interativa de perguntas e respostas.  \; Cad
astro 01:00 P.M. - 02:00 P.M. (BRT) Cadastre-se
aqui!  \;
CATEGORIES:Education
UID:20180805T2133530Z-511394-1840@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180814T130000
DTEND;TZID=America/Sao_Paulo:20180814T140000
SUMMARY:Algorithm and Hardware Advancements for Power-Efficient Artificial
Intelligence
DESCRIPTION:Artificial intelligence (AI) — specifically\, deep learning — i
s revolutionizing industries\, products\, and core capabilities by deliver
ing dramatically enhanced experiences. However\, the deep neural networks
of today are growing quickly in size and use too much memory\, compute\, a
nd energy. Plus\, to make AI truly ubiquitous\, it needs to run on the end
device within a tight power and thermal budget. One approach to address t
hese issues is Bayesian deep learning. Attendees will learn about: Why A
I algorithms and hardware need to be energy-efficient How Bayesian deep le
arning is making neural networks more power-efficient through model compre
ssion and quantization How we are doing fundamental research on AI algorit
hms and hardware to maximize power efficiency
X-ALT-DESC;FMTTYPE=text/html:Artificial intelligence&nb
sp\;(AI) \;&mdash\; specifically\, deep learning &mdash\; is revolutio
nizing industries\, products\, and core capabilities by \;delivering&n
bsp\;dramatically \;enhanced experiences. \;However\, the d
eep \;neural networks \;of today are \;growing quickly \;i
n \;size \;and \;use too much memory\, \;compute\, and&nbs
p\;energy. Plus\, to make AI truly ubiquitous\, it \;needs to run 
\;on the \;end device \;within a \;tight power \;and \
;thermal budget.
One approach to address these issues is \;
Bayesian deep learning.  \; - Why AI algorithms and hardware need to be ener
gy-efficient
- How Bayesian deep learning is making neural network
s more power-efficient through model compression and quantization
How we are doing fundamental research on AI algorithms and hardware to m
aximize power efficiency  \;
CATEGORIES:Education
UID:20180805T2137030Z-511394-1841@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180814T140000
DTEND;TZID=America/Sao_Paulo:20180814T150000
SUMMARY:Advanced Optical Coating Design
DESCRIPTION:In itself\, computer-aided design of optical coatings does not
induce understanding of the design process\, and the greater our understan
ding\, the better we are at dealing with unexpected events. In this webcas
t\, Angus Macleod of Thin Film Center describes approaches to open-ended e
xploration of design possibilities\, which aids understanding and is usefu
l as well. What You'll Learn: • Overview of conventional optical coating d
esign • Computer-aided open-ended design exploration • Thin-film design ap
plied to unusual problems • Where can open-ended exploration lead? Who Sho
uld Attend: • Optical engineering • Design engineering • Test engineerin
g • Manufacturing/production engineering • Engineering management Regis
ter Now>> Watch August 14\, 2018 at 1:00 PM EDT / 12:00 PM CDT / 10:00 AM
PDT / 5:00 PM GMT
X-ALT-DESC;FMTTYPE=text/html:In itself\, computer-aided design of optica
l coatings does not induce understanding of the design process\, and the g
reater our understanding\, the better we are at dealing with unexpected ev
ents. In this webcast\, Angus Macleod of Thin Film Center describes approa
ches to open-ended exploration of design possibilities\, which aids unders
tanding and is useful as well. &bull\; | Overview of conventional optical coating design | &bull\; | Computer-aided open-end
ed design exploration | &bull\; | Thin-film design applied to unusual problems <
tr> &bull\; | Where can open-ended
exploration lead? | Who Should \;Attend: | &bull
\; Optical engineering \; &bull\; Design engineering \;
&bull\; Test engineering \; &bull\; Manufacturing/production engi
neering \; &bull\; Engineering management \; | Register Now>\;>\; Watch August 14\, 2018 at 1:00 PM EDT / 12:00 PM CDT / 10:00 AM
PDT / 5:00 PM GMT
CATEGORIES:Education
UID:20180805T2140560Z-511394-1842@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180913T130000
DTEND;TZID=America/Sao_Paulo:20180913T140000
SUMMARY:TI C2000 MCUs and MathWorks Simulink for IEC 61508 and ISO 26262
DESCRIPTION: MathWorks and Texas Instruments staff shows how to use Simuli
nk and TI C2000™ SafeTI MCUs to develop and verify embedded systems in com
pliance with the IEC 61508 and ISO 26262 functional safety standards. TI’s
C2000 SafeTI microcontrollers are designed to meet up to the highest safe
ty standards in managing both systematic and random hardware faults and co
me with a SafeTI diagnostic software library (SDL)\, a tunable FMEDA and a
dditional SafeTI documentation to aid customers in designing systems in co
mpliance with ISO 26262 and IEC 61508 functional safety standards. Custome
rs using C2000 SafeTI MCUs can leverage key Simulink capabilities such as
modeling\, simulation\, code generation\, and automated testing based on t
he MathWorks IEC Certification Kit to generate source code for the end app
lication model used for production code generation. The source code genera
ted from Simulink by the MathWorks Embedded Coder is then converted to exe
cutable code that can be run on the C2000 target MCU by using the TI C2000
C/C++ compiler. The SafeTI Compiler Qualification Kit further assists cus
tomers in their efforts to qualify their use of the TI C2000 C/C++ compile
r to functional safety standards such as IEC 61508 and ISO 26262. REGISTER
NOW
X-ALT-DESC;FMTTYPE=text/html:  \; MathWorks and Texas Instruments st
aff shows how to use Simulink and TI C2000&trade\; SafeTI MCUs to develop
and verify embedded systems in compliance with the IEC 61508 and ISO 26262
functional safety standards. TI&rsquo\;s C2000 SafeTI microcontro
llers are designed to meet up to the highest safety standards in managing
both systematic and random hardware faults and come with a SafeTI diagnost
ic software library (SDL)\, a tunable FMEDA and additional SafeTI document
ation to aid customers in designing systems in compliance with ISO 26262 a
nd IEC 61508 functional safety standards. Customers using C2000 SafeTI MCU
s can leverage key Simulink capabilities such as modeling\, simulation\, c
ode generation\, and automated testing based on the MathWorks IEC Certific
ation Kit to generate source code for the end application model used for p
roduction code generation. The source code generated from Simulink by the
MathWorks Embedded Coder is then converted to executable code that can be
run on the C2000 target MCU by using the TI C2000 C/C++ compiler. The Safe
TI Compiler Qualification Kit further assists customers in their efforts t
o qualify their use of the TI C2000 C/C++ compiler to functional safety st
andards such as IEC 61508 and ISO 26262. REGISTER NOW
CATEGORIES:Education
UID:20180805T2145040Z-511394-1843@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201027T150000
DTEND;TZID=America/Sao_Paulo:20201027T160000
SUMMARY:Real World Simulation to Evaluate The Consumer Product Packaging Jo
urney from Idea to Reality
DESCRIPTION: Summary In this webinar we’ll utilize the 3DExperience Plat
form and the SIMULIAWORKS product suite to optimize the package design of
a consumer product\, in this case a plastic bottle. Moving from an initial
idea and considering the molding process\, then through loading\, drop te
st and transportation. These cutting edge analyses will leverage the SIMUL
IA Abaqus solver\, both implicit and explicit. Leveraging blow molding sim
ulation we’ll investigate different physical phenomenon involved in the pr
ocess and ensure desired thickness distribution is achieved. To ensure rea
lism\, the fluid volume will be included using high end analysis capabilit
ies\, ensuring accurate weight and pressure distributions. Packaging of se
veral bottles into appropriate containers and transportation of containers
will also be evaluated. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; Summary In this webinar we&rsquo\;ll utiliz
e the 3DExperience Platform and the SIMULIAWORKS product suite to optimize
the package design of a consumer product\, in this case a plastic bottle.
Moving from an initial idea and considering the molding process\, then th
rough loading\, drop test and transportation. These cutting edge analyses
will leverage the SIMULIA Abaqus solver\, both implicit and explicit. 
\;Leveraging blow molding simulation we&rsquo\;ll investigate different ph
ysical phenomenon involved in the process and ensure desired thickness dis
tribution is achieved. To ensure realism\, the fluid volume will be includ
ed using high end analysis capabilities\, ensuring accurate weight and pre
ssure distributions. \;Packaging of several bottles into appropriate c
ontainers and transportation of containers will also be evaluated.  \;<
/p>  \;
CATEGORIES:Education
UID:20201011T2314030Z-511394-2284@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171212T120000
DTEND;TZID=America/Sao_Paulo:20171212T130000
SUMMARY:MultiTech Approach to IoT Security for Device Connectivity
DESCRIPTION: Join Derek Wallace\, Director of Product Management at MultiT
ech\, as he provides an overview of the 3-tiered MultiTech approach to ens
uring device and communications security. He will also provide information
on one of the first MultiTech security services offerings\, in partnershi
p with Asavie\, for enabling secure end-to-end Industrial Internet of Thin
g (IIoT) communication. In this first of a multipart webinar series\, Dere
k will cover the following: Agenda: • 3-Tiered MultiTech Security Approac
h Ensuring Device Security Enabling Secure End-to-End Communications Manag
ement & Control – for secure deployment & management at scale • MultiTech
& Asavie Secure Communications solution featuring Passbridge • Set the sta
ge for the next webinar featuring our partnership with Device Authority fo
r the following services: Device authentication & management Automated pas
sword management End-to-end encryption Secure firmware updates Join us
for one of these webinars to learn more about MultiTech security service o
fferings. 8:00am - 9:00am U.S. Central Time
X-ALT-DESC;FMTTYPE=text/html: \; Join Derek Wallace\, D
irector of Product Management at MultiTech\, as he provides an overview of
the 3-tiered MultiTech approach to ensuring device and communications sec
urity. He will also provide information on one of the first MultiTech secu
rity services offerings\, in partnership with Asavie\, for enabling secure
end-to-end Industrial Internet of Thing (IIoT) communication.
In this first of \;a multipart webinar series\, Derek will co
ver the following: \; Agenda: &bull\; 3-Tiered MultiTech Security Approach
- Ensuring Device Security
- Enabling Secure End-to-End Communicatio
ns
- Man
agement &\; Control &ndash\; for secure deployment &\; management at
scale
&bull\; MultiTech &\; Asavie Secure
Communications solution featuring Passbridge &bull\; S
et the stage for the next webinar featuring our partnership with Device Au
thority for the following services: - Device auth
entication &\; management
- Automated password management
- End-to-end encryption
- Secure firm
ware updates \;
 \; Join us for one of these webinars to le
arn more about MultiTech security service offerings. 8:00am - 9:00am U.S. Ce
ntral Time  \;
CATEGORIES:Education
UID:20171130T1609210Z-511394-1661@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180328T193000
DTEND;TZID=America/Sao_Paulo:20180328T203000
SUMMARY:Ensino em sistemas embarcados no Brasil
DESCRIPTION:Resumo do Webinar Cada vez mais\, existe a sensação de uma lacu
na crescente entre o conhecimento de um(a) profissional recém-formado(a) e
as tecnologias exigidas pelo mercado. Assuntos como arquitetura ARM\, Lin
ux embarcado\, FPGA\, Sistemas Operacionais de Tempo Real\, DSP\, projetos
de placas de circuito mais complexas (BGA\, +4 camadas\, etc)\, tecnologi
a de sensores (acelerômetro\, giroscópio\, magnetômetro\, etc)\, protocolo
s e barramentos (WiFi\, Bluetooth\, USB\, CAN\, I2C\, SPI\, etc)\, tecnolo
gias de memória (DRAM\, NOR\, NAND\, etc)\, Python e outras linguagens mai
s modernas\, uso de software livre\, e muitos outros assuntos\, são requis
itos de um mercado cada vez mais exigente. Porém\, muitas universidades no
Brasil não abordam estes temas\, ou abordam de forma superficial. E então
o(a) recém-formado(a) vai para o mercado sem as capacidades básicas neces
sárias para desenvolver o trabalho exigido pelas empresas. Como consequênc
ia\, as empresas têm dificuldades em encontrar profissionais qualificados\
, e muitas vezes precisam treinar o(a) recém-contratado(a). Mas será que é
papel das empresas ensinar o(a) recém-formado(a) nestas novas tecnologias
? Ou seria responsabilidade das universidades? E qual seria o papel do(a)
aluno(a) neste processo? Será que esta defasagem de conhecimento não gera
atrasos no desenvolvimento de tecnologia no Brasil? Acompanhar a evolução
da tecnologia não é fácil\, e neste webinar tentaremos responder estas e m
uitas outras perguntas\, trazendo idéias e soluções práticas para resolver
ou minimizar este problema\, tanto para as instituições de ensino\, quant
o para os alunos e as empresas da área de tecnologia no Brasil. Objetivo d
o Webinar O objetivo deste webinar é discutir o estado da educação em sist
emas embarcados no Brasil. Através de um painel de discussão com professor
es de diferentes universidades\, abordaremos as diferenças entre as ementa
s dos cursos de Engenharia e Ciências da Computação e os conhecimentos exi
gidos pelo mercado\, trazendo idéias e soluções práticas para melhorar a e
ducação em sistemas embarcados no Brasil. [ATENÇÃO] – No final do webina
r será sorteado um brinde para os participantes presentes na sala. O brind
e foi cedido pela Mouser Electronics para que você possa estudar sobre sis
temas embarcados. Inscrições
X-ALT-DESC;FMTTYPE=text/html:Resumo do Webinar Cada vez mais\,
existe a sensaç\;ã\;o de uma lacuna crescente entre o conheci
mento de um(a) profissional recé\;m-formado(a) e as tecnologias exig
idas pelo mercado. Assuntos como arquitetura ARM\, Linux embarcado\, FPGA\
, Sistemas Operacionais de Tempo Real\, DSP\, projetos de placas de circui
to mais complexas (BGA\, +4 camadas\, etc)\, tecnologia de sensores (acele
rô\;metro\, giroscó\;pio\, magnetô\;metro\, etc)\, protoco
los e barramentos (WiFi\, Bluetooth\, USB\, CAN\, I2C\, SPI\, etc)\, tecno
logias de memó\;ria (DRAM\, NOR\, NAND\, etc)\, Python e outras ling
uagens mais modernas\, uso de software livre\, e muitos outros assuntos\,
sã\;o requisitos de um mercado cada vez mais exigente. Poré\;m
\, muitas universidades no Brasil nã\;o abordam estes temas\, ou abo
rdam de forma superficial. E entã\;o o(a) recé\;m-formado(a) v
ai para o mercado sem as capacidades bá\;sicas necessá\;rias p
ara desenvolver o trabalho exigido pelas empresas. Como consequê\;nci
a\, as empresas tê\;m dificuldades em encontrar profissionais qualifi
cados\, e muitas vezes precisam treinar o(a) recé\;m-contratado(a).
Mas será\; que é\; papel das empresas ensinar o(a) recé\
;m-formado(a) nestas novas tecnologias? Ou seria responsabilidade das univ
ersidades? E qual seria o papel do(a) aluno(a) neste processo? Será\
; que esta defasagem de conhecimento nã\;o gera atrasos no desenvolv
imento de tecnologia no Brasil? Acompanhar a evoluç\;ã\;o da t
ecnologia nã\;o é\; fá\;cil\, e neste webinar tentaremos
responder estas e muitas outras perguntas\, trazendo idé\;ias e sol
uç\;õ\;es prá\;ticas para resolver ou minimizar este pro
blema\, tanto para as instituiç\;õ\;es de ensino\, quanto para
os alunos e as empresas da á\;rea de tecnologia no Brasil. Objetivo do Webinar O objetivo deste webinar é\; discutir
o estado da educaç\;ã\;o em sistemas embarcados no Brasil. Atr
avé\;s de um painel de discussã\;o com professores de diferent
es universidades\, abordaremos as diferenç\;as entre as ementas dos
cursos de Engenharia e Ciê\;ncias da Computaç\;ã\;o e os
conhecimentos exigidos pelo mercado\, trazendo idé\;ias e solu&ccedi
l\;õ\;es prá\;ticas para melhorar a educaç\;ã\;o e
m sistemas embarcados no Brasil.  \; [ATENÇ\;&At
ilde\;O] &ndash\; No final do webinar será\; sorteado um brinde para
os participantes presentes na sala. O brinde foi cedido pela \;Mouser El
ectronics \;para que você\; possa estudar sobre sistemas emba
rcados.  \; Inscriç
\;õ\;es
CATEGORIES:Education
UID:20180327T1312050Z-511394-1741@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170420T120000
DTEND;TZID=America/Sao_Paulo:20170420T130000
SUMMARY: Secure Device Management for IIOT
DESCRIPTION: The Internet of Things (IoT) is a game changer for industrial
customers\, measurably improving their product performance. But success hi
nges on securing connected industrial devices. Join Wind River® for a web
seminar on key trends in security and the challenges of securing your conn
ected devices. What you will learn: Risks IoT companies face if they fail
to plan adequately for security Operational needs throughout the lifecycle
of IoT devices Ways Wind River customers address IoT lifecycle and securi
ty concerns
X-ALT-DESC;FMTTYPE=text/html:
 \;The Internet of Things (IoT) is a game changer for industrial custo
mers\, measurably improving their product performance. But success hinges
on securing connected industrial devices.
Join Wind River®\; for a web semi
nar on key trends in security and the challenges of securing your connecte
d devices. | <
td style='padding: 30px\; padding-top: 0px\; padding-bottom: 10px\;' align
='left'> | Risks IoT companies face if
they fail to plan adequately for security | | Operational needs througho
ut the lifecycle of IoT devices | | Ways Wind River customers address Io
T lifecycle and security concerns |
 \;
CATEGORIES:Education
UID:20170419T1858300Z-511394-1330@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201014T140000
DTEND;TZID=America/Sao_Paulo:20201014T150000
SUMMARY:Introduction to Thread networking protocol
DESCRIPTION: The Thread is a secure\, low power wireless mesh networking
protocol for connected home and buildings. Here are 3 reasons to sign up
for this webinar\, held by our Product Manager for Nordic's short range p
roducts: Learn the basics of the Thread networking protocol\, including n
etwork topology\, roles\, and scalability Become an expert on application
layers and the Thread certification process Learn which device within the
nRF52 and nRF53 Series are most suitable for developing your Thread produ
ct
X-ALT-DESC;FMTTYPE=text/html: \;  \; The Thread
is a secure\, low power wireless mesh networking protocol for connected ho
me and buildings. \; Here are 3 reasons to sign up for th
is webinar\, held by our Product Manager for Nordic's short range products
: \; - Learn the basics of the Thread networking protocol
\, including network topology\, roles\, and scalability
- Become an expert on application layers and the Thread certification p
rocess \;
Learn which device within the nRF52 and nRF5
3 Series are most suitable for developing your Thread product &nbs
p\;
<
/p>  \;
CATEGORIES:Education
UID:20200914T1724490Z-511394-2274@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170726T120000
DTEND;TZID=America/Sao_Paulo:20170726T130000
SUMMARY:Designing RF Power and VSWR Measurement Systems
DESCRIPTION:Overview: Sponsored by Analog Devices and Richardson RFPD. Thi
s webcast will provide a step-by-step approach to designing RF power and r
eturn loss measurement systems. The webcast will focus on a number of comm
on applications such as precision RF Power Measurement\, measuring VSWR or
Return Loss\, measuring RF envelopes and detecting RF pulses. Who Should
Attend: RF and non-RF circuit designers and systems engineers who need to
detect and measure RF Power and/or Return Loss in their end-products.
X-ALT-DESC;FMTTYPE=text/html: Overvie
w: Sponsored by Analog Devices and Richardson
RFPD.  \;This webcast will provide a step-by-step approach t
o designing RF power and return loss measurement systems. The webcast will
focus on a number of common applications such as precision RF Power Measu
rement\, measuring VSWR or Return Loss\, measuring RF envelopes and detect
ing RF pulses. \;
W
ho Should Attend: RF and non-RF circuit
designers and systems engineers who need to detect and measure RF Power a
nd/or Return Loss in their end-products. |
table>  \; |  \; |  \; |  \; |  \; |  \; |
|  \; |  \; |  \; |  \; |  \; |  \;
CATEGORIES:Education
UID:20170721T1801520Z-511394-1470@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170727T150000
DTEND;TZID=America/Sao_Paulo:20170727T160000
SUMMARY:Human Inputting Devices for DC Motor Control - Day 4
DESCRIPTION:An industrial machine’s behavior is based on a set of output co
mmands initiated by a microcontroller or microprocessor unit. To direct th
ese microcontroller or microprocessor driven outputs an input control devi
ce is needed. The input control device is manipulated by an operator known
as a human. A Human Input Device is a controlling unit which primarily ta
kes operator inputs and sends them to a machine. Physical parameters such
as motion\, light\, temperature\, color\, and pressure can be detected by
a wide variety of sensors\, switches\, and other semiconductor input sensi
ng devices. These sensed physical parameters enable industrial machines to
drive the attached output electromechanical loads using solid state drive
rs. This course will explore concepts in Physical Computing using mechanic
al\, semiconductor\, and optical devices for Human Input Devices. In addit
ion\, hands-on labs will focus on the development of Physical Computing co
ncepts with applications to dc motor controls. July 27 – Day 4 – Non-Tradi
tional Human Input Devices: Hands-on Project: A Motion Control Servo Motor
In this session\, sound\, motion\, and temperature sensors for detection
and control will be explored. Electronic interfacing circuits for each of
these devices will be explored. To illustrate how these devices can be use
d in a controls application a motion control servo using a PIR sensor will
be presented as the hands-on project.
X-ALT-DESC;FMTTYPE=text/html:An industrial
machine&rsquo\;s behavior is based on a set of output commands initiated
by a microcontroller or microprocessor unit. To direct these microcontroll
er or microprocessor driven outputs an input control device is needed. The
input control device is manipulated by an operator known as a human.
A Human Input Device is a controlling un
it which primarily takes operator inputs and sends them to a machine. Phys
ical parameters such as motion\, light\, temperature\, color\, and pressur
e can be detected by a wide variety of sensors\, switches\, and other semi
conductor input sensing devices. These sensed physical parameters enable i
ndustrial machines to drive the attached output electromechanical loads us
ing solid state drivers. This course
will explore concepts in Physical Computing using mechanical\, semiconduc
tor\, and optical devices for Human Input Devices. In addition\, hands-on
labs will focus on the development of Physical Computing concepts with app
lications to dc motor controls. - In this session\, sound\, motion\, and
temperature sensors for detection and control will be explored. Electronic
interfacing circuits for each of these devices will be explored. To illus
trate how these devices can be used in a controls application a motion con
trol servo using a PIR sensor will be \;presented as the hands-on proj
ect.
CATEGORIES:Education
UID:20170721T1750240Z-511394-1466@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170724T150000
DTEND;TZID=America/Sao_Paulo:20170724T160000
SUMMARY:Human Inputting Devices for DC Motor Control - Day 1
DESCRIPTION:An industrial machine’s behavior is based on a set of output co
mmands initiated by a microcontroller or microprocessor unit. To direct th
ese microcontroller or microprocessor driven outputs an input control devi
ce is needed. The input control device is manipulated by an operator known
as a human. A Human Input Device is a controlling unit which primarily ta
kes operator inputs and sends them to a machine. Physical parameters such
as motion\, light\, temperature\, color\, and pressure can be detected by
a wide variety of sensors\, switches\, and other semiconductor input sensi
ng devices. These sensed physical parameters enable industrial machines to
drive the attached output electromechanical loads using solid state drive
rs. This course will explore concepts in Physical Computing using mechanic
al\, semiconductor\, and optical devices for Human Input Devices. In addit
ion\, hands-on labs will focus on the development of Physical Computing co
ncepts with applications to dc motor controls. July 24 – Day 1 – Mechanica
l Input Devices Project\, Project: The Cooling Machine Mechanical Input De
vices still play a vital role in industrial controls. Adjusting set points
for process controls and switching operating modes (diagnostic/normal) on
conveyor systems typically use mechanical input devices. This introductor
y session discusses the basic parts of mechanical input devices. The commo
nly used joystick and the potentiometer will provide the examples illustra
ting the construction of mechanical input devices. The hands-on project wi
ll consist of building a concept Cooling Machine using a potentiometer fol
lowed by the joystick as the mechanical input device. The Makerblock-Orion
will be introduced in this session as well.
X-ALT-DESC;FMTTYPE=text/html:An industrial
machine&rsquo\;s behavior is based on a set of output commands initiated
by a microcontroller or microprocessor unit. To direct these microcontroll
er or microprocessor driven outputs an input control device is needed. The
input control device is manipulated by an operator known as a human.
A Human Input Device is a controlling un
it which primarily takes operator inputs and sends them to a machine. Phys
ical parameters such as motion\, light\, temperature\, color\, and pressur
e can be detected by a wide variety of sensors\, switches\, and other semi
conductor input sensing devices. These sensed physical parameters enable i
ndustrial machines to drive the attached output electromechanical loads us
ing solid state drivers. This course
will explore concepts in Physical Computing using mechanical\, semiconduc
tor\, and optical devices for Human Input Devices. In addition\, hands-on
labs will focus on the development of Physical Computing concepts with app
lications to dc motor controls. - Mechanical Input Devices still play a
vital role in industrial controls. Adjusting set points for process contro
ls and switching operating modes (diagnostic/normal) on conveyor systems t
ypically use mechanical input devices. This introductory session discusses
the basic parts of mechanical input devices. The commonly used joystick a
nd the potentiometer will provide the examples illustrating the constructi
on of mechanical input devices. The hands-on project will consist of build
ing a concept Cooling Machine using a potentiometer followed by the joysti
ck as the mechanical input device. The Makerblock-Orion will be introduced
in this session as well.  \;
CATEGORIES:Education
UID:20170721T1746030Z-511394-1463@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170725T150000
DTEND;TZID=America/Sao_Paulo:20170725T160000
SUMMARY:Human Inputting Devices for DC Motor Control - Day 2
DESCRIPTION:An industrial machine’s behavior is based on a set of output co
mmands initiated by a microcontroller or microprocessor unit. To direct th
ese microcontroller or microprocessor driven outputs an input control devi
ce is needed. The input control device is manipulated by an operator known
as a human. A Human Input Device is a controlling unit which primarily ta
kes operator inputs and sends them to a machine. Physical parameters such
as motion\, light\, temperature\, color\, and pressure can be detected by
a wide variety of sensors\, switches\, and other semiconductor input sensi
ng devices. These sensed physical parameters enable industrial machines to
drive the attached output electromechanical loads using solid state drive
rs. This course will explore concepts in Physical Computing using mechanic
al\, semiconductor\, and optical devices for Human Input Devices. In addit
ion\, hands-on labs will focus on the development of Physical Computing co
ncepts with applications to dc motor controls. July 25 – Day 2 – Semicondu
ctor Input Devices\, Project: The Smart Fan Solid state technology provide
s the advantages of small size and fast switching speeds for industrial ma
chines and process control applications. Hand gesture controls are being e
xplored as non-traditional input controls for smart factories. Fast sensin
g and triggering are important attributes of semiconductor input devices.
In this session\, solid state switching technology basics will be presente
d. A discussion on ultrasonic sensors followed by an application of a smar
t fan hands-on project.
X-ALT-DESC;FMTTYPE=text/html:An industrial
machine&rsquo\;s behavior is based on a set of output commands initiated
by a microcontroller or microprocessor unit. To direct these microcontroll
er or microprocessor driven outputs an input control device is needed. The
input control device is manipulated by an operator known as a human.
A Human Input Device is a controlling un
it which primarily takes operator inputs and sends them to a machine. Phys
ical parameters such as motion\, light\, temperature\, color\, and pressur
e can be detected by a wide variety of sensors\, switches\, and other semi
conductor input sensing devices. These sensed physical parameters enable i
ndustrial machines to drive the attached output electromechanical loads us
ing solid state drivers. This course
will explore concepts in Physical Computing using mechanical\, semiconduc
tor\, and optical devices for Human Input Devices. In addition\, hands-on
labs will focus on the development of Physical Computing concepts with app
lications to dc motor controls. - Solid state technology provide
s the advantages of small size and fast switching speeds for industrial ma
chines and process control applications. Hand gesture controls are being e
xplored as non-traditional input controls for smart factories. Fast sensin
g and triggering are important attributes of semiconductor input devices.
In this session\, solid state switching technology basics will be presente
d. A discussion on ultrasonic sensors followed by an application of a smar
t fan hands-on project.
CATEGORIES:Education
UID:20170721T1747460Z-511394-1464@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170726T150000
DTEND;TZID=America/Sao_Paulo:20170726T160000
SUMMARY:Human Inputting Devices for DC Motor Control - Day 3
DESCRIPTION:An industrial machine’s behavior is based on a set of output co
mmands initiated by a microcontroller or microprocessor unit. To direct th
ese microcontroller or microprocessor driven outputs an input control devi
ce is needed. The input control device is manipulated by an operator known
as a human. A Human Input Device is a controlling unit which primarily ta
kes operator inputs and sends them to a machine. Physical parameters such
as motion\, light\, temperature\, color\, and pressure can be detected by
a wide variety of sensors\, switches\, and other semiconductor input sensi
ng devices. These sensed physical parameters enable industrial machines to
drive the attached output electromechanical loads using solid state drive
rs. This course will explore concepts in Physical Computing using mechanic
al\, semiconductor\, and optical devices for Human Input Devices. In addit
ion\, hands-on labs will focus on the development of Physical Computing co
ncepts with applications to dc motor controls. July 26 – Day 3 – Photoelec
trics and Light based Applications: Hands-on Project: Safety Controls Phot
oelectrics allow non-contact inputs to drive industrial machines and proce
ss controls. Light curtains are safety control photoelectric devices that
detect the presence of an operator in active work cell. In this session\,
photoelectric sensors and optoelectronic basics will be discussed. To illu
strate some of the photoelectric sensor concepts\, a safety controls devic
e for a dc motor control will be presented as the class hands -on project.
X-ALT-DESC;FMTTYPE=text/html:An industrial
machine&rsquo\;s behavior is based on a set of output commands initiated
by a microcontroller or microprocessor unit. To direct these microcontroll
er or microprocessor driven outputs an input control device is needed. The
input control device is manipulated by an operator known as a human.
A Human Input Device is a controlling un
it which primarily takes operator inputs and sends them to a machine. Phys
ical parameters such as motion\, light\, temperature\, color\, and pressur
e can be detected by a wide variety of sensors\, switches\, and other semi
conductor input sensing devices. These sensed physical parameters enable i
ndustrial machines to drive the attached output electromechanical loads us
ing solid state drivers. This course
will explore concepts in Physical Computing using mechanical\, semiconduc
tor\, and optical devices for Human Input Devices. In addition\, hands-on
labs will focus on the development of Physical Computing concepts with app
lications to dc motor controls. - Photoelectrics allow non-contact inputs to driv
e industrial machines and process controls. Light curtains are safety cont
rol photoelectric devices that detect the presence of an operator in activ
e work cell. In this session\, photoelectric sensors and optoelectronic ba
sics will be discussed. To illustrate some of the photoelectric sensor con
cepts\, a safety controls device for a dc motor control will be presented
as the class hands -on project. \;
CATEGORIES:Education
UID:20170721T1749060Z-511394-1465@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170728T150000
DTEND;TZID=America/Sao_Paulo:20170728T160000
SUMMARY:Human Inputting Devices for DC Motor Control - Day 5
DESCRIPTION:An industrial machine’s behavior is based on a set of output co
mmands initiated by a microcontroller or microprocessor unit. To direct th
ese microcontroller or microprocessor driven outputs an input control devi
ce is needed. The input control device is manipulated by an operator known
as a human. A Human Input Device is a controlling unit which primarily ta
kes operator inputs and sends them to a machine. Physical parameters such
as motion\, light\, temperature\, color\, and pressure can be detected by
a wide variety of sensors\, switches\, and other semiconductor input sensi
ng devices. These sensed physical parameters enable industrial machines to
drive the attached output electromechanical loads using solid state drive
rs. This course will explore concepts in Physical Computing using mechanic
al\, semiconductor\, and optical devices for Human Input Devices. In addit
ion\, hands-on labs will focus on the development of Physical Computing co
ncepts with applications to dc motor controls. July 28 – Day 5 – Bluetooth
– Touch Screen Controls HMIs (Human Machine Interface) and wireless modul
es are the defacto control devices used to monitor and operate industrial
machines and processes on smart factory floors. In this final session of t
he 5-day webinar course\, Touch Screen and Bluetooth technologies for indu
strial applications will be discussed. Details on prototyping a concept HM
I with a Bluetooth interface using either an Android or iOS smartphone for
adjusting a small dc motor’s speed will be presented as the hands-on proj
ect.
X-ALT-DESC;FMTTYPE=text/html:An industrial
machine&rsquo\;s behavior is based on a set of output commands initiated
by a microcontroller or microprocessor unit. To direct these microcontroll
er or microprocessor driven outputs an input control device is needed. The
input control device is manipulated by an operator known as a human.
A Human Input Device is a controlling un
it which primarily takes operator inputs and sends them to a machine. Phys
ical parameters such as motion\, light\, temperature\, color\, and pressur
e can be detected by a wide variety of sensors\, switches\, and other semi
conductor input sensing devices. These sensed physical parameters enable i
ndustrial machines to drive the attached output electromechanical loads us
ing solid state drivers. This course
will explore concepts in Physical Computing using mechanical\, semiconduc
tor\, and optical devices for Human Input Devices. In addition\, hands-on
labs will focus on the development of Physical Computing concepts with app
lications to dc motor controls. - HMIs (Human Machine Interface) and wireless modules are
the defacto control devices used to monitor and operate industrial machine
s and processes on smart factory floors. In this final session of the 5-da
y webinar course\, Touch Screen and Bluetooth technologies for industrial
applications will be discussed. Details on prototyping a concept HMI with
a Bluetooth interface using either an Android or iOS smartphone for adjust
ing a small dc motor&rsquo\;s speed will be presented as the hands-on proj
ect. \;
CATEGORIES:Education
UID:20170721T1751530Z-511394-1467@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170920T140000
DTEND;TZID=America/Sao_Paulo:20170920T150000
SUMMARY:Solving Real Time Direction Finding and Spectrum Monitoring with So
ftware Defined Radios
DESCRIPTION: As the variety and complexity of communication systems in t
he modern RF battlefield increase\, the need to quickly design\, deploy an
d field upgrade spectrum monitoring and direction finding solutions become
s paramount. Software Defined Radio (SDR) platforms for these applications
need to cover wide frequency ranges\, process high bandwidth data in real
-time\, provide synchronization scalable across multiple channels\, and su
pport flexible development tools. SDRs with user programmable FPGAs are un
iquely positioned to keep pace with the rapidly changing ecosystem of algo
rithms and technologies to effectively carry out SIGINT\, EW and multi-mis
sion operations. Join National Instruments (NI) for an in-depth discussion
of the next generation of flexible and powerful COTS SDRs that enable dir
ection finding\, spectrum monitoring and radio functionality. This webinar
includes discussion of key RF system requirements\, an overview of multip
le software tool flows\, and a demonstration of a reference direction find
ing application. Speaker: Wan Liu\, Product Manager\, USRP SDR\, Ettus Res
earch Moderator: John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; <
p> \; As the variety and complexity of communication systems i
n the modern RF battlefield increase\, the need to quickly design\, deploy
and field upgrade spectrum monitoring and direction finding solutions bec
omes paramount. Software Defined Radio (SDR) platforms for these applicati
ons need to cover wide frequency ranges\, process high bandwidth data in r
eal-time\, provide synchronization scalable across multiple channels\, and
support flexible development tools. SDRs with user programmable F
PGAs are uniquely positioned to keep pace with the rapidly changing ecosys
tem of algorithms and technologies to effectively carry out SIGINT\, EW an
d multi-mission operations. Join National Instruments (NI) for an
in-depth discussion of the next generation of flexible and powerful COTS S
DRs that enable direction finding\, spectrum monitoring and radio function
ality. This webinar includes discussion of key RF system requirements\, an
overview of multiple software tool flows\, and a demonstration of a refer
ence direction finding application. | Speaker: Wan Liu\, Product Manager\, USRP SDR\, Ettus Research Moderator: \; John McHale\, Op
enSystems Media | |  \;
CATEGORIES:Education
UID:20170721T1754220Z-511394-1468@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170727T140000
DTEND;TZID=America/Sao_Paulo:20170727T150000
SUMMARY:Thermal Effects\, Power Integrity and Your PCB
DESCRIPTION:What is the webcast about Did you know that in addition to vali
dating the electrical performance of the power integrity on your PCB\, it
is also crucial to perform a thermal validation as well? This webcast intr
oduces the thermal aspects of power integrity in your PCB designs and why
it is critical to consider them. To better understand a design’s power int
egrity from the thermal viewpoint\, it provides a theoretical background f
or heat transfer and an overview of the thermal analysis technologies. Fin
ally\, the webcast demonstrates the importance of performing thermal analy
sis on PCB designs by showing a practical PCB design example. Who shou
ld attend Power Integrity engineers and PCB designers wanting to gain a gr
eater knowledge of thermal issues in PCBs. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: What is the webcast about Did you
know that in addition to validating the electrical performance of the pow
er integrity on your PCB\, it is also crucial to perform a thermal validat
ion as well? This webcast introduces the thermal aspects of power integrit
y in your PCB designs and why it is critical to consider them. To better u
nderstand a design&rsquo\;s power integrity from the thermal viewpoint\, i
t provides a theoretical background for heat transfer and an overview of t
he thermal analysis technologies. Finally\, the webcast demonstrates the i
mportance of performing thermal analysis on PCB designs by showing a pract
ical PCB design example. | | |  \; |  \; | Who should attend Pow
er Integrity engineers and PCB designers wanting to gain a greater knowled
ge of thermal issues in PCBs. | |  \;REGISTER NOW \;  \;
CATEGORIES:Education
UID:20170721T1756060Z-511394-1469@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170727T150000
DTEND;TZID=America/Sao_Paulo:20170727T160000
SUMMARY:Using Conformal Coatings to Mitigate System Failures
DESCRIPTION:Why this webcast is important From outer space and the deep sea
to the human body\, conformal coatings are often used to protect technolo
gies from their surrounding environments. However\, not all conformal coat
ings are the same. To mitigate product failure\, and protect both product
and manufacturer reputations\, designers often turn to Parylene coatings t
o provide reliable\, lasting protection. This webinar will offer an oppo
rtunity to learn more about Parylene conformal coatings – how they are app
lied\, common applications and uses\, and an overview of their properties
and benefits\, including: Truly conformal coverage\, with no air-gaps or v
oids Excellent moisture and chemical barrier High dielectric strength in u
ltra-thin films Thermal stability up to 350°C long-term (450°C short-term)
Dry-film lubricity/low coefficient of friction The presenters will also d
iscuss recent advances in adhesion technologies\, which have significantly
increased bonding strength of Parylenes to highly-polished metal surfaces
and polymeric substrates\, and the role the polymers play in advanced mic
ro- and nano-level technologies. >> Save your spot today.
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is important From outer space and the deep sea to the human b
ody\, conformal coatings are often used to protect technologies from their
surrounding environments. However\, not all conformal coatings are the sa
me. To mitigate product failure\, and protect both product and manufacture
r reputations\, designers often turn to Parylene coatings to provide reliable\, lasting protection. &
nbsp\; This webinar will offer an opportunity to learn more about
Parylene conformal coatings &ndash\; how they are applied\, common applica
tions and uses\, and an overview of their properties and benefits\, includ
ing: - Truly conformal coverage\, with no air-gaps or voids
li>
- Excellent moisture and chemical barrier
- High dielectric
strength in ultra-thin films
- Thermal stability up to 350°\;C
long-term (450°\;C short-term)
- Dry-film lubricity/low coeffi
cient of friction
The presenters will also discuss recent
advances in adhesion technologies\, which have significantly increased bon
ding strength of Parylenes to highly-polished metal surfaces and polymeric
substrates\, and the role the polymers play in advanced micro- and nano-l
evel technologies.  \; >\;>\; Save your spot today.
CATEGORIES:Education
UID:20170721T1834570Z-511394-1471@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170721T150000
DTEND;TZID=America/Sao_Paulo:20170721T160000
SUMMARY: Modernizing Threat Simulation in Electronic Warfare
DESCRIPTION:
X-ALT-DESC;FMTTYPE=text/html:
CATEGORIES:Education
UID:20170721T1853060Z-511394-1479@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170725T100000
DTEND;TZID=America/Sao_Paulo:20170725T110000
SUMMARY:Produtos e soluções Weidmüller para o mercado da energia fotovoltai
ca
DESCRIPTION:Resumo da Palestra: O mercado da Energia Fotovoltaica (FV) no B
rasil está crescendo a cada ano. Nós da Weidmüller Conexel\, uma empresa
de mais de 160 anos na área de conexões elétricas\, com mais de 10 GW inst
alados de produtos para a Energia Solar e mais de 120 mil caixas de String
Box fornecidas no mundo todo\, apresentaremos neste webinar o que temos d
e melhor em conexões para uma usina solar\, como String Box\, conectores t
ipo WM4\, ferramentas de decapagem e crimpagem e muito mais. Venha partici
par deste Webinar. Agenda do Webinar: Mercado brasileiro de energia\; Ener
gia fotovoltaica no mundo\; Crescimento exponencial para fotovoltaico\; No
rmas REN482/ Financiamentos/ Bancos\; Produtos e soluções Weidmüller para
fotovoltaico\; Casos de sucesso no Brasil e no mundo.
X-ALT-DESC;FMTTYPE=text/html:
Resumo da Palestra: O mercado da Energia
Fotovoltaica (FV) no Brasil está\; crescendo a cada ano. \; N&oa
cute\;s da Weidmü\;ller Conexel\, \;uma empresa de mais de 160 ano
s na á\;rea de conexõ\;es elé\;tricas\, com mais de 10 G
W instalados de produtos para a Energia Solar e mais de 120 mil caixas de
String Box fornecidas no mundo todo\, apresentaremos neste webinar o que t
emos de melhor em conexõ\;es para uma usina solar\, como String Box\
, conectores tipo WM4\, ferramentas de decapagem e crimpagem e muito mais.
 \;Venha participar deste Webinar. Agenda do Webinar
strong>: - Mercado brasileiro de energia\;
- Energia
fotovoltaica \;no mundo\;
- Crescimento exponencial para fotov
oltaico\;
- Normas REN482/ Financiamentos/ Bancos\;
- Prod
utos e soluç\;õ\;es Weidmü\;ller para fotovoltaico\;
- Casos de sucesso no Brasil e no mundo.
CATEGORIES:Education
UID:20170721T1844170Z-511394-1476@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170726T120000
DTEND;TZID=America/Sao_Paulo:20170726T130000
SUMMARY:Analysis and Development of Safety-Critical Embedded Systems and So
ftware for Automotive Applications
DESCRIPTION: More than 60 percent of a new vehicle’s cost comes from its a
dvanced electronics and software systems. Since many of the human-machine
interface (HMI) functions guided by these electronic systems are mission-c
ritical\, it’s essential that all automotive systems work together with co
mplete reliability. That means tens of millions of lines of software code
that control advanced driver assistance systems (ADAS) must be flawless. I
f your embedded software and HMI development process relies on generic dat
abase tools and time-consuming methods to manually generate and verify con
trol code\, any human error can be costly. On the development end\, you’re
likely to not receive feedback until the code is compiled and run on an e
xpensive test vehicle. Afterwards\, you’ll likely need to make extensive c
hanges to the embedded code and HMI following each driving scenario\, and
this can be a long and expensive process. Join us to learn how to overcome
these embedded software and HMI development challenges using the ANSYS mo
del-based approach. You will learn how to develop ISO 26262-qualified and
AUTOSAR-compliant systems\, providing: An estimated 40 percent savings i
n costs Greater control over complex system architecture tasks A higher le
vel of system reliability\, safety and security A reduction in physical te
sting investments Speaker: Xavier Fornari\, SCADE Suite Product Manager\
, and Leader of Automotive Action\, ANSYS System Business Unit Moderator:
Rich Nass\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
 \; | | More than 60 percent of a new veh
icle&rsquo\;s cost comes from its advanced electronics and software system
s. Since many of the human-machine interface (HMI) functions guided by the
se electronic systems are mission-critical\, it&rsquo\;s essential that al
l automotive systems work together with complete reliability. That means t
ens of millions of lines of software code that control advanced driver ass
istance systems (ADAS) must be flawless. If your embedded software
and HMI development process relies on generic database tools and time-con
suming methods to manually generate and verify control code\, any human er
ror can be costly. On the development end\, you&rsquo\;re likely t
o not receive feedback until the code is compiled and run on an expensive
test vehicle. Afterwards\, you&rsquo\;ll likely need to make extensive cha
nges to the embedded code and HMI following each driving scenario\, and th
is can be a long and expensive process. Join us to learn how to ov
ercome these embedded software and HMI development challenges using the AN
SYS model-based approach. You will learn how to develop ISO 26262-qualifie
d and AUTOSAR-compliant systems\, providing:  \; An estimated 40 percent savings in costs - Greater control over
complex system architecture tasks
- A higher level of system relia
bility\, safety and security
- A reduction in physical testing inv
estments
 \; | Speaker:
Xavier Fornari\, SCADE Suite Product Manager\, and Leader of Automotive A
ction\, ANSYS System Business Unit Moderator: \; Rich Nass\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20170721T1837070Z-511394-1473@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170822T120000
DTEND;TZID=America/Sao_Paulo:20170822T130000
SUMMARY:Portable Generators: Generating Energy-efficient Power for Flexible
Use
DESCRIPTION:Summary Interested in how to build energy-efficient power for f
lexible use? Join this session and get familiar with our full system solut
ion\, with a special focus on inverters! Sign up for the webinar now and m
ake your portable generator designs smaller and lighter! The use of energy
globally is forecasted to increase by more than 70 percent by 2040. Risin
g demand for electricity puts an increasing strain on the grid. Where the
grid is unavailable or inaccessible\, portable generators can provide back
up or emergency power supply for residential\, commercial and industrial a
pplications. Attendees will learn: Portable generator market analysis BO
M analysis Basics about portable generator - design and typical requiremen
ts Undestanding supply chain and target customers Infineon's role as a ful
l system solution provider REGISTER
X-ALT-DESC;FMTTYPE=text/html: Interested in how to build energy-efficient power
for flexible use? Join this session and get familiar with our full system
solution\, with a special focus on inverters! Sign up for the webinar now
and make your portable generator designs smaller and lighter! The
use of energy \;globally is forecaste
d to increase by more than 70 percent by 2040. Rising demand for electrici
ty puts an increasing strain on the grid. Where the grid is unavailable or
inaccessible\, portable generators can provide backup or emergency power
supply for residential\, commercial and industrial applications.  \;
span> Attendees will learn: - Portable generator mark
et analysis
- BOM analysis
- Basics about portable generat
or - design and typical requirements
- Undestanding supply chain a
nd target customers
- Infineon's role as a full system solution pr
ovider
REGISTER  \;
CATEGORIES:Education
UID:20170721T1839420Z-511394-1474@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170720T150000
DTEND;TZID=America/Sao_Paulo:20170720T160000
SUMMARY:Addressing New SAS-4 Transmitter and Receiver Test Challenges
DESCRIPTION:Serial Attached SCSI – 4 (SAS-4) is the next generation enterpr
ise storage interface that doubles the data throughput from the current S
AS-3 standard. SAS-4 has an effective data throughput of 24 Gb/s\, and it
operates at 22.5 GBaud using a 128b/150b coding scheme that includes Forw
ard Error Correction (FEC). The SAS-4 specification leverages the OIF-CEI
3.1 specification\, and presents new transmitter and receiver test requir
ements that deviate from the previous generation SAS standards. For examp
le\, the receiver stress signal calibration is no longer based on waveform
analysis of the actual stress signal with simulation of a reference rece
iver characteristic\, but instead on a calibration of a transmitter signa
l of the test equipment and worst case compliant channel. REGISTER NOW
TO LEARN MORE: http://app.link.pentondes.com/e/er?s=1904481191&lid=53858&e
lqTrackId=99549c8830d54fa2a5164418a44c5b34&elq=60919a5426a94acbb221d0aaf47
af5be&elqaid=12022&elqat=1
X-ALT-DESC;FMTTYPE=text/html: Serial Attached SCSI &ndash\; 4 (SAS-4) i
s the next generation enterprise storage interface that doubles the data t
hroughput from the current SAS-3 standard. SAS-4 has an effective da
ta throughput of 24 Gb/s\, and it operates at 22.5 GBaud using a 128
b/150b coding scheme that includes Forward Error Correction (FEC). The SAS
-4 specification leverages the OIF-CEI 3.1 specification\, and prese
nts new transmitter and receiver test requirements that deviate from the previous generation SAS standards. For example\, the receiver stress
signal calibration is no longer based on waveform analysis of the ac
tual stress signal with simulation of a reference receiver characteristic\
, but instead on a calibration of a transmitter signal of the test e
quipment and worst case compliant channel. REGISTER NOW TO LEARN MORE:
http://app.link.pentondes.com/e/er?s=1904481191&\;lid=53858&\;elq
TrackId=99549c8830d54fa2a5164418a44c5b34&\;elq=60919a5426a94acbb221d0aa
f47af5be&\;elqaid=12022&\;elqat=1
CATEGORIES:Education
UID:20170721T1841260Z-511394-1475@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170804T083000
DTEND;TZID=America/Sao_Paulo:20170804T173000
SUMMARY:Smart Choices for IoT Connectivity and Location
DESCRIPTION:Location u-blox M8 Multi-Constellation GNSS Security\, Geofenci
ng\, Datalogging Real-Time Kinematics (RTK) What it is\, how it works\, wh
ich applications benefit Automotive Dead Reckoning Accurate position\, alw
ays and anywhere Tethered and untethered ZOE\, the world's smallest GNSS m
odule Ultra-small\, low-power GNSS module Ideal for mobile\, wearable\, an
d battery-operated applications SAM-M8Q\, CAM-M8Q GNSS modules with integr
ated antenna Easiest and fastest implementation Cellular 1 New 4G LTE Tech
nologies Understanding LTE Cat 1\, Cat-M1\, Cat NB1 (formerly NB-IoT) Glob
al LTE module Which technology for which application Guest Presentation: V
ivo Plans for IoT market in Brazil Nested Design Philosophy One design\, c
overing all technologies (2G\, 3G\, 4G) Cellular 2 High-speed LTE Cat 6 Ge
tting faster with TOBY-L4xx6 Cat 6 modules Random phase multiple access –
Alternative technology for IoT What is RMPA\, and what are the use cases a
nd advantages SARA-S200: size and power optimized module for RPMA Guest Pr
esentation: Vodafone Viewpoint and roadmap for RPMA deployment in Brazil S
hort Rang V2X – Vehicle safety communication VERA: vehicle-to-vehicle and
vehicle-to-infrastructure communication module From ADAS (advanced driver
assistance system) to autonomous car Stand alone solutons for WiFi and Blu
etooth: NINA-W\, NINA-B\, ODIN-W Cost-saving implementations for complete
and small-size solutions Hosted WiFi and Bluetooth modules Cost-optimized
solutions for complex systems
X-ALT-DESC;FMTTYPE=text/html: L
ocation - u-blox M8 Multi-Constella
tion GNSS
- Security\, Geofencing\, Datalogging
ul> - Real-Time Kinematics (RTK)
- Wha
t it is\, how it works\, which applications benefit
Automotive Dead Reckoning - Accurate position\
, always and anywhere
- Tethered and untethered
- ZOE\, the world's smallest GNSS module
- U
ltra-small\, low-power GNSS module
- Ideal for mobile\, wearable\,
and battery-operated applications
- SAM-M8Q
\, CAM-M8Q
- GNSS modules with integrated antenna
- Easiest and fastest implementation
Cellular 1 - New 4G LTE Technologies
- Understa
nding LTE Cat 1\, Cat-M1\, Cat NB1 (formerly NB-IoT)
- Global LTE
module
- Which technology for which application
- Guest Presentation: Vivo
- Plans for IoT m
arket in Brazil
- Nested Design Philosophy
strong>
- One design\, covering all technologies (2G\, 3G\, 4G)
li>
Cellular 2 - High-speed LTE Cat
6
- Getting faster with TOBY-L4xx6 Cat 6 modules
- Random phase multiple access &ndash\; Alternativ
e technology for IoT
- What is RMPA\, and what are the u
se cases and advantages
- SARA-S200: size and power optimized modu
le for RPMA
- Guest Presentation: Vodafone
strong>
- Viewpoint and roadmap for RPMA deployment in Brazil
Short Rang - V2X &n
dash\; Vehicle safety communication
- VERA: vehicle-to-v
ehicle and vehicle-to-infrastructure communication module
- From A
DAS (advanced driver assistance system) to autonomous car
- Stand alone solutons for WiFi
and Bluetooth: \;NINA-W\, NINA-B\, ODIN-W
- Cost-sa
ving implementations for complete and small-size solutions
li> - Hosted WiFi and Bluetooth modules
- Cos
t-optimized solutions for complex systems
CATEGORIES:Education
UID:20170721T1847230Z-511394-1477@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170816T150000
DTEND;TZID=America/Sao_Paulo:20170816T160000
SUMMARY:Make Your High-Integrity Code Safe and Secure
DESCRIPTION: Attend this webcast to learn how to verify the quality\, re
liability\, and security of high-integrity software. You’ll learn to do th
is thoroughly and efficiently using automated static code analysis based o
n formal methods. After describing the problem and putting together a road
map for success\, MathWorks engineers will provide an overview of the Poly
space tool\, followed by discussion and Q&A. Attend this webinar to learn
how to: Find bugs and prove where critical bugs cannot occur (without test
cases or instrumentation) Meet safety standards (including MISRA\, ISO 26
262\, and DO-178) and document compliance Check software security vulnerab
ilities and standards (such as CWE\, CERT-C\, and ISO 17961) Satisfy regul
atory authorities (FAA\, FDA) with certified / qualifiable tools and certi
fication artifacts Discover why development teams around the world have ad
opted Polyspace to verify high-integrity code – including Airbus/ESA\, Ale
nia Aermacchi\, EADS\, Korean Air\, NASA Ames\, NATO Hawk\, and Solar Impu
lse. Speaker: Jeff Chapple\, Senior Application Engineer\, MathWorks Mod
erator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;  \; |
|
Attend this
webcast to learn how to verify the quality\, reliability\, and security of
high-integrity software. You&rsquo\;ll learn to do this thoroughly and ef
ficiently using automated static code analysis based on formal methods. After describing the problem and putting together a roadmap for succ
ess\, MathWorks engineers will provide an overview of the Polyspace tool\,
followed by discussion and Q&\;A. Attend this webinar to learn how to:
- Find bugs and prove where critical bugs cannot occur (with
out test cases or instrumentation)
- Meet safety standards (includ
ing MISRA\, ISO 26262\, and DO-178) and document compliance
- Chec
k software security vulnerabilities and standards (such as CWE\, CERT-C\,
and ISO 17961)
- Satisfy regulatory authorities (FAA\, FDA) with c
ertified / qualifiable tools and certification artifacts
Disc
over why development teams around the world have adopted Polyspace to veri
fy high-integrity code &ndash\; including Airbus/ESA\, Alenia Aermacchi\,
EADS\, Korean Air\, NASA Ames\, NATO Hawk\, and Solar Impulse.  \;
Speaker: Jeff Chapple\, Senior Applicati
on Engineer\, MathWorks Moderator
: \; Brandon Lewis\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20170721T1852130Z-511394-1478@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170726T140000
DTEND;TZID=America/Sao_Paulo:20170726T150000
SUMMARY:Modernizing Threat Simulation in Electronic Warfare
DESCRIPTION: **We're sorry! We were so excited to tell you about this webi
nar that we mixed up our dates! This webinar will be held on July 26\, not
June 26. Why this webcast is important The current state of the Elect
ronic Warfare environment requires a fundamentally new way of simulating t
hreat environments. The traditional approaches are no longer feasible and
are laden with challenges and obstacles. Most of which will not be discove
red until much too far down the implementation cycle causing serious risk
to EW projects and programs relying on threat simulation. In this webcas
t we will discuss: Changes in the electronic warfare environment The many
challenges encountered in developing a modern threat simulator Confidence
and correlation between lab and flight test Technologies needed to overcom
e these challenges Who should attend Test engineers\, systems engineer
s\, engineering managers and program managers involved with radar and EW c
hallenges who are facing a rapidly changing threat environment\, while dea
ling with the day-to-day of program schedules and budgets.
X-ALT-DESC;FMTTYPE=text/html:  \; **We're sorry! We were so excited to tell you about t
his webinar that we mixed up our dates! This webinar will be held on July 26\, not June 26.  \; <
/td> |  \; Why this webcast
is important The current state of the Electronic Wa
rfare environment requires a fundamentally new way of simulating threat en
vironments. The traditional approaches are no longer feasible and are lade
n with challenges and obstacles. Most of which will not be discovered unti
l much too far down the implementation cycle causing serious risk to EW pr
ojects and programs relying on threat simulation.  \;
In this webcast we will discuss: - Changes in the electronic
warfare environment
- The many challenges encountered in developin
g a modern threat simulator
- Confidence and correlation between l
ab and flight test
- Technologies needed to overcome these challen
ges
| | <
/table> |  \; |
&
nbsp\; | Who should attend Test engin
eers\, systems engineers\, engineering managers and program managers invol
ved with radar and EW challenges who are facing a rapidly changing threat
environment\, while dealing with the day-to-day of program schedules and b
udgets. | |
 \;
CATEGORIES:Education
UID:20170721T1854090Z-511394-1480@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170727T150000
DTEND;TZID=America/Sao_Paulo:20170727T160000
SUMMARY:ERP with mobility energizes field services and plant-floor executio
n
DESCRIPTION:Here is more about the Webcast: Mobility can streamline field s
ervices and plant operations because mobility allows managers already in m
otion to coordinate their efforts – to complete a transaction\, grant an a
pproval\, or allow access to information. The means to mobility in manuf
acturing-execution is not the same as what works for field-service. And be
sides the mobility that supports these specific tasks or roles\, mobile “c
ompanion apps” are appearing that support a wider range of management task
s. Different technologies\, different devices\, and different type conte
nt apply across these domains. Besides technology\, issues related to mobi
lity include usability\, applications\, devices\, and software. For ERP
relevancy\, what’s needed is a way to securely distribute subsets of exist
ing applications outside the company network to industry-standard devices.
How are these applications developed\, and how can they be used in a way
that fits your business processes? Tune into this webcast to learn from
experts how ERP effectiveness as a single source of truth is only increas
ed by better use of mobility. Click here to register today!
X-ALT-DESC;FMTTYPE=text/html: Here
is more about the Webcast: Mobility c
an streamline field services and plant operations because mobility allows
managers already in motion to coordinate their efforts &ndash\; to complet
e a transaction\, grant an approval\, or allow access to information. &nb
sp\; The means to mobility in manufacturing-executi
on is not the same as what works for field-service. And besides the mobili
ty that supports these specific tasks or roles\, mobile &ldquo\;companion
apps&rdquo\; are appearing that support a wider range of management tasks.
 \; Different technologies\, different device
s\, and different type content apply across these domains. Besides technol
ogy\, issues related to mobility include usability\, applications\, device
s\, and software.  \; For ERP relevancy\, what&
rsquo\;s needed is a way to securely distribute subsets of existing applic
ations outside the company network to industry-standard devices. How are t
hese applications developed\, and how can they be used in a way that fits
your business processes? \;  \; Tune into
this webcast to learn from experts how ERP effectiveness as a single sourc
e of truth is only increased by better use of mobility. \;  \;
CATEGORIES:Education
UID:20170721T1857160Z-511394-1481@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171212T170000
DTEND;TZID=America/Sao_Paulo:20171212T180000
SUMMARY:The Value that Supply Chain Optimization Solutions Deliver
DESCRIPTION: This webinar will discuss Parylene coatings – how they are ap
plied\, their properties and benefits\, and the industries and application
s where Parylenes commonly provide reliable protection. The presentation
will also review advances in adhesion technologies\, which have significan
tly increased bonding to difficult surfaces (e.g.\, highly polished metals
and a variety of polymers)\, and the use of Parylene as a structural\, na
no-scale material. What You'll Learn: The increasing complexity and de
creasing size of electronic components poses reliability challenges for en
gineers. Applications across the electronics\, avionic\, automotive\, mili
tary\, aerospace industries have benefited from the polymer's protective p
roperties. A demo of capSpire's Optimization Platform that illustrates how
the solution can help midstream companies handle widespread variability i
n the supply chain and achieve increased margins by improving strategic an
d tactical decision making Who Should Attend: Engineers and engineering
management across disciples: Design\, applications\, manufacturing\, produ
ction\, R&D Supply chain managers\, buyers Quality and regulatory engineer
s and managers
X-ALT-DESC;FMTTYPE=text/html:  \;
This webinar will di
scuss Parylene coatings &ndash\; how they are applied\, their properties a
nd benefits\, and the industries and applications where Parylenes commonly
provide reliable protection.  \;The presentation will also review adv
ances in adhesion technologies\, which have significantly increased bondin
g to difficult surfaces (e.g.\, highly polished metals and a variety of po
lymers)\, and the use of Parylene as a structural\, nano-scale material. <
/span>  \; What You'll Learn:  \; - The increasing complexity and decreasing size of electronic component
s poses reliability challenges for engineers.
- Applications across the electro
nics\, avionic\, automotive\, military\, aerospace industries have benefit
ed from the polymer's protective properties.
- A demo of capSpire's Optimizatio
n Platform that illustrates how the solution can help midstream companies
handle widespread variability in the supply chain and achieve increased ma
rgins by improving strategic and tactical decision making
 \; Who Should
span>Attend: <
/span> - Engineers and engineering management across disciples: Design\, applica
tions\, manufacturing\, production\, R&\;D
- Supply chain managers\, buyers
- Qu
ality and regulatory engineers and managers
CATEGORIES:Education
UID:20171130T1613330Z-511394-1663@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161206T160000
DTEND;TZID=America/Sao_Paulo:20161206T170000
SUMMARY:Signal Source Challenges Facing Quantum Computing and Research
DESCRIPTION:Dear HENRIQUE\, Today there is a huge rush to explore quantum p
henomena and develop technologies based on quantum physics. These technolo
gies range from quantum computing to new communications schemes and have t
he potential to revolutionize the world. When developing these technologie
s\, there are common challenges facing researchers who are using signal so
urces to stimulate\, measure\, and get feedback from their quantum experim
ents. The Webinar will cover: • A high-level view of how quantum experimen
ts are triggered • The signal sources that are being used and challenges t
hat come with scaling • Signal conditioning and synchronization needed to
make a quantum technologies a reality For more information and to register
\, click here.
X-ALT-DESC;FMTTYPE=text/html:Dear HENRIQUE\,
Today there is
a huge rush to explore quantum phenomena and develop technologies based o
n quantum physics. These technologies range from quantum computing to new
communications schemes and have the potential to revolutionize the world.
When developing these technologies\, there are common challen
ges facing researchers who are using signal sources to stimulate\, measure
\, and get feedback from their quantum experiments.
The Webin
ar will cover: &bull\; | A high-level view of how quantum experiments are triggered |
&bull\; | The signal sources that are bein
g used and challenges that come with scaling |
&bull\; | Signal conditioning and synchronization needed to
make a quantum technologies a reality |
For more information and to register\, click here.<
/p>
CATEGORIES:Education
UID:20161201T1624330Z-511394-1146@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161201T160000
DTEND;TZID=America/Sao_Paulo:20161201T170000
SUMMARY:Optimizing IoT Storage with Intel SSDs
DESCRIPTION: REGISTER
X-ALT-DESC;FMTTYPE=text/html:  \; REGISTER
p>  \;
CATEGORIES:Education
UID:20161201T1619070Z-511394-1143@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161206T160000
DTEND;TZID=America/Sao_Paulo:20161206T170000
SUMMARY:Wind River Helix Drive: The Software Foundation for Developing Next
-Generation Autonomous Systems
DESCRIPTION: In order for autonomous cars to become mainstream\, these veh
icles must intelligently connect and communicate not only within their own
complex vehicle systems but with the external world as well. We'll examin
e the intricacies of autonomous driving\, including technologies to ensure
safety and key challenges that must be addressed in order to bring it to
the real world. What you will learn: The parallels between automotive and
other mission-critical systems as they pertain to safety and security need
s Lessons for the auto industry from other industries\, such as aerospace
and defense\, with similarly tight safety restrictions How Wind River® Hel
ix™ Drive is designed to perform at the highest safety levels to address t
he growing needs for safe\, secure\, and certifiable software-driven drivi
ng applications Speakers: Kumar Senthil\, Product Manager\, Automotive M
arketing and Strategy\, Wind River Moderator: Rich Nass\, OpenSystems Med
ia REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | In order for autonomous cars to become mainstream\, these vehic
les must intelligently connect and communicate not only within their own c
omplex vehicle systems but with the external world as well. We'll examine
the intricacies of autonomous driving\, including technologies to ensure s
afety and key challenges that must be addressed in order to bring it to th
e real world. What you will learn: - The parallels be
tween automotive and other mission-critical systems as they pertain to saf
ety and security needs
- Lessons for the auto industry from other
industries\, such as aerospace and defense\, with similarly tight safety r
estrictions
- How Wind River®\; Helix&trade\; Drive is designed
to perform at the highest safety levels to address the growing needs for
safe\, secure\, and certifiable software-driven driving applications
 \; |
Speakers: Kumar Senthi
l\, Product Manager\, Automotive Marketing and Strategy\, Wind River
Moderator: \; Rich N
ass\, OpenSystems Media | |  \;
CATEGORIES:Education
UID:20161201T1622200Z-511394-1145@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161207T130000
DTEND;TZID=America/Sao_Paulo:20161207T140000
SUMMARY:Software: The Lifeblood of Any Medical Device
DESCRIPTION: Software plays a pivotal role in the operation of life-critic
al medical devices\, so much so that software can be thought of as the lif
eblood of a medical device. Make the right choice and your real-time opera
ting system (RTOS)\, HMI and custom hardware will work in perfect unison.
A poor choice of software platform and your device may need a total transf
usion. BlackBerry QNX and ICS have been working together on medical device
s for years\, developing custom Qt-based solutions on a wide range of prod
ucts. From patient monitoring to medical imaging and infusion pumps\, we h
ave the experience to help our clients develop the robust systems they wan
t-- on time\, on budget\, and with the upmost in reliable performance. We
will examine the software platforms of choice for medical device manufactu
rers and explain how you can employ the inherent benefits of safe and secu
re designs\, faster time to market\, easier pre-market approval\, and lowe
r total cost of ownership in your medical device project. Attend this webi
nar to learn about: Market trends: Issues medical device manufacturers fa
ce today Total cost of ownership challenges: including the challenges of
certifying a medical device based on an open source operating system and a
ssociated life-cycle costs. Achieving real time controls system performanc
e and a fluid user experience using BlackBerry QNX and Qt Creating a moder
n and customizable HMI: tips on delivering an impressive\, natural and saf
e user experience Speakers: Steven Dean\, Global Healthcare Segment Mana
ger\, BlackBerry QNX Software Systems Justin Noel\, Senior Consulting Engi
neer / Certified Qt Instructor\, Integrated Computer Solutions Moderator:
Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | Software plays a pivotal role in the operation of life-critical
medical devices\, so much so that software can be thought of as the lifeb
lood of a medical device. Make the right choice and your real-time operati
ng system (RTOS)\, HMI and custom hardware will work in perfect unison. A
poor choice of software platform and your device may need a total transfus
ion. BlackBerry QNX and ICS have been working together on medical
devices for years\, developing custom Qt-based solutions on a wide range o
f products. From patient monitoring to medical imaging and infusion pumps\
, we have the experience to help our clients develop the robust systems th
ey want-- on time\, on budget\, and with the upmost in reliable performanc
e. We will examine the software platforms of choice for medical de
vice manufacturers and explain how you can employ the inherent benefits of
safe and secure designs\, faster time to market\, easier pre-market appro
val\, and lower total cost of ownership in your medical device project. Attend this webinar to learn about: - Market tr
ends:  \;Issues medical device manufacturers face today
- Total cost of ownership challenges:  \;including
the challenges of certifying a medical device based on an open source ope
rating system and associated life-cycle costs.
- Achieving
real time controls system performance and a fluid user experience using B
lackBerry QNX and Qt
- Creating a modern and cust
omizable HMI: tips on delivering an impressive\, natural and safe
user experience
 \; | Speakers: Steven Dean\, Global Healthcare Segment Manager\, BlackBerry QNX
Software Systems Justin Noel\, Senior Consulting Engineer / Certifi
ed Qt Instructor\, Integrated Computer Solutions Moderator: \; Curt Schwaderer\, OpenSyst
ems Media | | &
nbsp\;
CATEGORIES:Education
UID:20161201T1613470Z-511394-1142@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161201T140000
DTEND;TZID=America/Sao_Paulo:20161201T150000
SUMMARY:Expect More from Your FPGA
DESCRIPTION:In traditional low density FPGAs you would expect to get FPGA f
abric\, memory blocks\, maybe some DSP\, IO and possibly some PLLs. If we
look at FPGAs in the Attendees will learn: How to get SerDes functionali
ty in 10K LE devices How to leverage NVM for secure boot of your FPGA or M
icro Which devices are ideal or better for CPLD replacement Which solution
s provide superior performance for deterministic motor control Why low pow
er devices are critical for thermal imaging How to prevent overbuilding an
d cloning in your system About Microsemi FPGA & SoC families REGISTER
X-ALT-DESC;FMTTYPE=text/html: I
n traditional low density FPGAs you would expect to get FPGA fabric\, memo
ry blocks\, maybe some DSP\, IO and possibly some PLLs. If we look at FPGA
s in the  \; Attendees will learn: - How to get SerD
es functionality in 10K LE devices
- How to leverage NVM for secur
e boot of your FPGA or Micro
- Which devices are ideal or better f
or CPLD replacement
- Which solutions provide superior performance
for deterministic motor control
- Why low power devices are criti
cal for thermal imaging
- How to prevent overbuilding and cloning
in your system \;
- About Microsemi FPGA &\; SoC families
li>
REGISTER
CATEGORIES:Education
UID:20161116T1557570Z-511394-1130@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161117T160000
DTEND;TZID=America/Sao_Paulo:20161117T170000
SUMMARY:The Microsoft WINDOWS 10 Defense Stack
DESCRIPTION:Users want a consistent experience regardless of the device the
y use to access your application or service. This requirement puts a strai
n on security as it increases the vulnerable surface and opens up more poi
nts of attack for hackers and others with bad intent. Fortunately Microsof
t has the solution through the security features built into the Windows 10
operating system and the Windows 10 IoT Enterprise edition. Microsoft’s s
ecurity solution incorporates a comprehensive defense stack which includes
both pre-breach and post-breach elements. This webinar will define variou
s types of attacks your application may be subject to and how the Microsof
t defense stack provides the right protection to mitigate risk and increas
e your customer’s overall confidence in your solution. Join Gordon Smith\,
Microsoft Technology Strategist\, for this comprehensive presentation. Re
gister now for this webcast
X-ALT-DESC;FMTTYPE=text/html:Users want a consistent experience regardless of t
he device they use to access your application or service. This requirement
puts a strain on security as it increases the vulnerable surface and open
s up more points of attack for hackers and others with bad intent. Fortuna
tely Microsoft has the solution through the security features built into t
he Windows 10 operating system and the Windows 10 IoT Enterprise edition.
Microsoft&rsquo\;s security solution incorporates a comprehensive defense
stack which includes both pre-breach and post-breach elements. This webina
r will define various types of attacks your application may be subject to
and how the Microsoft defense stack provides the right protection to mitig
ate risk and increase your customer&rsquo\;s overall confidence in your so
lution. Join Gordon Smith\, Microsoft Technology Strategist\, for this com
prehensive presentation.
CATEGORIES:Education
UID:20161116T1545280Z-511394-1125@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161117T160000
DTEND;TZID=America/Sao_Paulo:20161117T170000
SUMMARY:Composite Materials: Designing and Innovating In Real World Applica
tions
DESCRIPTION:This webinar will educate the attendee about the benefits of us
ing thermoset composite materials and examine some examples of real world
applications. After the webinar\, attendees will have a clear understandin
g of how thermoset composites deliver optimized performance and create a c
ompetitive advantage in a wide range of applications. Attendees will learn
: What is a thermoset polymer and how does it differ from metals and therm
oplastics? What benefits are provided by thermoset composites that are not
offered by traditional materials? How are thermoset composites being used
today in various industries to create superior products? How do moldable
composite materials reduce manufacturing costs and product complexity? Reg
ister now for this live webcast
X-ALT-DESC;FMTTYPE=text/html:This webinar will educate the attende
e about the benefits of using thermoset composite materials and examine so
me examples of real world applications. After the webinar\, attendees will
have a clear understanding of how thermoset composites deliver optimized
performance and create a competitive advantage in a wide range of applicat
ions. Attendees will learn: - What is a thermoset polymer
and how does it differ from metals and thermoplastics?
- What benefits are provided by thermoset composites that are not off
ered by traditional materials?
- How are thermoset
composites being used today in various industries to create superior prod
ucts?
- How do moldable composite materials reduce
manufacturing costs and product complexity?
CATEGORIES:Education
UID:20161116T1547200Z-511394-1126@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201021T140000
DTEND;TZID=America/Sao_Paulo:20201021T150000
SUMMARY:Cloud connectivity and protocols for IoT
DESCRIPTION: What are the important factors to be considered for sending
data to the cloud? And what protocols do you need for the IoT connectivit
y to actually work? Register and our experts will teach you about the key
selection factors and protocols to be considered for your cellular IoT pro
duct development. Before the Q&A session at the end\, we will show you dif
ferent ways of doing a proof-of-concept with cloud connectivity.
X-ALT-DESC;FMTTYPE=text/html: \;  \; What are th
e important factors to be considered for sending data to the cloud? And wh
at protocols do you need for the IoT connectivity to actually work? <
p>Register and our experts will teach you about the key selection factors
and protocols to be considered for your cellular IoT product development.
Before the Q&\;A session at the end\, we will show you different ways o
f doing a proof-of-concept with cloud connectivity.  \;
 \;
CATEGORIES:Education
UID:20200914T1726010Z-511394-2275@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201028T140000
DTEND;TZID=America/Sao_Paulo:20201028T150000
SUMMARY:How nRF9160 enables cellular IoT asset tracking
DESCRIPTION: It is easier than ever before to make small and power-effic
ient tracking solutions with LTE-M and smart GPS solutions. Join our webi
nar to: Get started with your asset tracking development Learn how to use
the nRF9160 SiP for asset tracking Understand GPS\, assisted GPS\, and cel
lular positioning Get up-to-date on PSM\, eDRX\, and low power cellular de
sign With Nordic's vast experience in highly integrated\, low power wire
less solutions we have developed the nRF9160 SiP\, an all-in-one\, single
chip solution suitable for cellular asset tracking applications. Join us t
o learn how you can take advantage of this technology to make your own cel
lular IoT asset tracker application that can last for months or even years
on small batteries.
X-ALT-DESC;FMTTYPE=text/html: \;  \; It is easie
r than ever before to make small and power-efficient tracking solutions wi
th LTE-M and smart GPS solutions. \; Join our webinar to:
- Get started with your asset tracking development
- Lear
n how to use the nRF9160 SiP for asset tracking
- Understand GPS\,
assisted GPS\, and cellular positioning
- Get up-to-date on PSM\,
eDRX\, and low power cellular design
 \; With
Nordic's vast experience in highly integrated\, low power wireless soluti
ons we have developed the nRF9160 SiP\, an all-in-one\, single chip soluti
on suitable for cellular asset tracking applications. Join us to learn how
you can take advantage of this technology to make your own cellular IoT a
sset tracker application that can last for months or even years on small b
atteries. \;  \;  \;
CATEGORIES:Education
UID:20200914T1727570Z-511394-2276@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200916T150000
DTEND;TZID=America/Sao_Paulo:20200916T160000
SUMMARY:Protect IP and Share Knowledge Easily
DESCRIPTION: Why is this Webinar important? The ability to collaborate
seamlessly on data with any stakeholders within your organization is criti
cal to creating innovative new products. Product data management (PDM) i
s at the center of these challenges. PDM system help teams seamlessly coll
aborate with other departments and stakeholders\, reduce wasted time searc
hing for information\, and prevent others from overwriting each other’s wo
rk. What you will learn In this webinar see how you can manage your produ
ct data and other files to facilitate your team’s ability to collaborate\,
improve overall efficiencies and keep your valuable your data secure. REG
ISTER
X-ALT-DESC;FMTTYPE=text/html: \;
 \;Why is this Webinar import
ant?
 \; The ability to collaborate seamlessly on data with any stakeholder
s within your organization is critical to creating innovative new products
.  \;
Product data management (PDM) is at the center of these c
hallenges. PDM system help teams seamlessly collaborate with other departm
ents and stakeholders\, reduce wasted time searching for information\, and
prevent others from overwriting each other&rsquo\;s work.
 \;What you will learn In this webinar see how you can manage your product data and other files
to facilitate your team&rsquo\;s ability to collaborate\, improve overall
efficiencies and keep your valuable your data secure. REG
ISTER
CATEGORIES:Education
UID:20200914T1604330Z-511394-2263@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200924T140000
DTEND;TZID=America/Sao_Paulo:20200924T150000
SUMMARY:Introduction to the Zephyr RTOS
DESCRIPTION: Together with Zephyr\, we would like to give you a comprehe
nsive introduction to the Zephyr Real Time Operating System (RTOS) and som
e of its main benefits. Register and you will be showcased demos doing a s
ample build and flashing a sample application to a Nordic board. Before th
e live panel Q&A session we will present the future direction Zephyr is go
ing\, its capabilities and structure\, as well as discussing some of the d
evelopment tools that you can use to accelerate your product development.
X-ALT-DESC;FMTTYPE=text/html: \;  \; Together wi
th Zephyr\, we would like to give you a comprehensive introduction to the
Zephyr Real Time Operating System (RTOS) and some of its main benefits. Re
gister and you will be showcased demos doing a sample build and flashing a
sample application to a Nordic board. Before the live panel Q&\;A sess
ion we will present the future direction Zephyr is going\, its capabilitie
s and structure\, as well as discussing some of the development tools that
you can use to accelerate your product development.
CATEGORIES:Education
UID:20200914T1720270Z-511394-2272@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201008T123000
DTEND;TZID=America/Sao_Paulo:20201008T133000
SUMMARY:ISO 26262 Part 6: Product Development at the Software Level
DESCRIPTION: The webinar gives an introduction to the ISO 26262 software
development process\, the safety-analysis-related process and tools for s
oftware. You will learn what NXP provides for software development and whi
ch steps need to be implemented by the customer. REGISTER NOW »
X-ALT-DESC;FMTTYPE=text/html: \;  \; The webinar gives an introduction to the ISO 26262 softwar
e development process\, the safety-analysis-related process and tools for
software. You will learn what NXP provides for software development and wh
ich steps need to be implemented by the customer.  \;
p>  \;REGISTER NOW »\;
CATEGORIES:Education
UID:20200914T1701010Z-511394-2266@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201015T123000
DTEND;TZID=America/Sao_Paulo:20201015T133000
SUMMARY:ISO 26262 Part 3 and Part 4: Concept and System Definition
DESCRIPTION: We will describe the process covered by part 3\, concept ph
ase\, and part 4\, system level\, of the ISO 26262 standard\, identify rol
es and responsibilities for these steps and explain how NXP has implemente
d parts 3 and 4 for the system safety solutions using as an example the hi
gh-voltage traction inverter. REGISTER NOW »
X-ALT-DESC;FMTTYPE=text/html: \;  \; We will describe the process covered by part 3\, concept p
hase\, and part 4\, system level\, of the ISO 26262 standard\, identify ro
les and responsibilities for these steps and explain how NXP has implement
ed parts 3 and 4 for the system safety solutions using as an example the h
igh-voltage traction inverter.  \;  \;
span>REGISTER NOW »\;
CATEGORIES:Education
UID:20200914T1703000Z-511394-2267@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201022T123000
DTEND;TZID=America/Sao_Paulo:20201022T133000
SUMMARY:NXP System Safety Solution: High-Voltage (HV) Traction Inverter
DESCRIPTION: Explore the ISO 26262-compliant HV traction inverter system
safety solution from NXP. We describe the tailored development including
the assumptions taken and how the system follows the ISO 26262 process. Le
arn more about NXP’s system safety solutions roadmap. REGISTER NOW »
X-ALT-DESC;FMTTYPE=text/html: \;  \; Explore the ISO 26262-compliant HV traction inverter syste
m safety solution from NXP. We describe the tailored development including
the assumptions taken and how the system follows the ISO 26262 process. L
earn more about NXP&rsquo\;s system safety solutions roadmap.
REGISTER NOW »\;<
/strong>  \;
CATEGORIES:Education
UID:20200914T1704400Z-511394-2268@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200915T140000
DTEND;TZID=America/Sao_Paulo:20200915T150000
SUMMARY:Are You Ready for the Next Stage of the Industry 4.0 Revolution?
DESCRIPTION: Manufacturing companies are challenged to increase productivit
y while producing individualized products with high quality in an environm
ent of constantly changing and varying customer demand. Many companies rec
ognize Industry 4.0 as strategic priority to turn these challenges into op
portunities. Where does your organization stand when it comes to changes b
rought on by Industry 4.0? Are you ready to redefine your manufacturing pr
ocesses and the value your products provide for the next stage of industri
al revolution? REGISTER
X-ALT-DESC;FMTTYPE=text/html: \;Manufacturing companies are challenged to inc
rease productivity while producing individualized products with high quali
ty in an environment of constantly changing and varying customer demand. M
any companies recognize Industry 4.0 as strategic priority to turn these c
hallenges into opportunities. Where does your organization stand when it co
mes to changes brought on by Industry 4.0? Are you ready to redefine your
manufacturing processes and the value your products provide for the next s
tage of industrial revolution?  \; RE
GISTER
 \;
CATEGORIES:Education
UID:20200914T1710360Z-511394-2269@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200922T120000
DTEND;TZID=America/Sao_Paulo:20200922T130000
SUMMARY:Cutting Edge Embedded Single Board and System Solutions to Accelera
te IoT Applications
DESCRIPTION: Embedded Single Boards are the solutions-to-go to meet high p
erformance IoT demands. Don't compromise for performance & board size: lea
rn how to easily migrate actual and future application demands like Artifi
cial Intelligence of Things and 5G connectivity using our tailored system
approach with our MIOe expansion board offering for rugged and harsh envir
onmental conditions. Just focus on your business and let us do the rest: c
oncentrate on the latest trend for down-sizing equipment and devices with
same or even higher performance\, we will provide you your perfect-fit sol
ution. Learn how Advantech can support you with Single Boards Computers so
lutions and WISE-DeviceOn\, the Ready-to-use Platform for IoT Device Opera
tion & Management Entrusted to us! We are the Industrial Embedded Platform
s global market leader with the largest portfolio\, global presence and 37
years of experience\, enabling you to a smart world. Our mission is to he
lp you and your customers to establish all the requirements for a connecte
d planet. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \; Embedded Single Bo
ards are the solutions-to-go to meet high performance IoT demands. Don't c
ompromise for performance &\; board size: learn how to easily migrate a
ctual and future application demands like Artificial Intelligence of Thing
s and 5G connectivity using our tailored system approach with our MIOe exp
ansion board offering for rugged and harsh environmental conditions.
Just focus on your business and let us do the rest: concentrat
e on the latest trend for down-sizing equipment and devices with same or e
ven higher performance\, we will provide you your perfect-fit solution. Learn how Advantech can support you with Single Boards Comp
uters solutions and WISE-DeviceOn\, the Ready-to-use Platform for IoT Devi
ce Operation &\; Management Entrusted to us! We are the Industrial Embe
dded Platforms global market leader with the largest portfolio\, global pr
esence and 37 years of experience\, enabling you to a smart world. Our mis
sion is to help you and your customers to establish all the requirements f
or a connected planet.  \; REGISTER NOW
CATEGORIES:Education
UID:20200914T1713130Z-511394-2270@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200925T140000
DTEND;TZID=America/Sao_Paulo:20200925T150000
SUMMARY:QEMU for Embedded System Developers
DESCRIPTION: QEMU is an open source machine emulator that can run softwa
re that has been built for one architecture (e.g. Arm\, MIPS\, RISC-V) on
a system with another architecture (your development system). QEMU can emu
late a wide range of both processor architectures and target hardware plat
forms. The webinar will feature an example showing how to boot a 'producti
on' Linux® image using the QEMU emulation of the NXP i.MX6UL Evaluation Ki
t. You will also see how QEMU can be a powerful tool for embedded system d
evelopers and allow development\, testing and debugging of both user appli
cations and operating systems on your laptop or desktop system - all witho
ut the need for target hardware. REGISTER NOW »
X-ALT-DESC;FMTTYPE=text/html: \;  \; QEMU is an op
en source machine emulator that can run software that has been built for o
ne architecture (e.g. Arm\, MIPS\, RISC-V) on a system with another archit
ecture (your development system). QEMU can emulate a wide range of b
oth processor architectures and target hardware platforms. The webinar wil
l feature an example showing how to boot a 'production' Linux®\; image
using the QEMU emulation of the NXP&nb
sp\;i.MX6UL \;Evaluation Kit. You will also see how
QEMU can be a powerful tool for embedded system developers and allow devel
opment\, testing and debugging of both user applications and operating sys
tems on your laptop or desktop system - all without the need for target ha
rdware.  \;REGISTER \;NOW \;&r
aquo\;
CATEGORIES:Education
UID:20200914T1715510Z-511394-2271@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180221T180000
DTEND;TZID=America/Sao_Paulo:20180221T190000
SUMMARY:Spectroscopy and hyperspectral imaging the fast and easy way with t
he FERGIE integrated spectrograph
DESCRIPTION:In our laboratory at Massey University we are using the FERGIE
for Raman spectroscopy\, wide-field hyperspectral imaging and on a Raman m
icroscope. In this webcast we will demonstrate how\, in just a few minutes
\, we can acquire a colloidal SERS spectrum from the FERGIE cuvette cube a
nd then switch to our custom-built Raman microscope to acquire MoS2 nanori
bbon spectra. Who Should Attend: Students\, researchers in academia and in
dustry that want to get most out of their Raman measurements\, and advance
d users interesting in hearing about new applications of Raman spectroscop
y.
X-ALT-DESC;FMTTYPE=text/html:In our laboratory at Massey University we ar
e using the FERGIE for Raman spectroscopy\, wide-field hyperspectral imagi
ng and on a Raman microscope. In this webcast we will demonstrate how\, in
just a few minutes\, we can acquire a colloidal SERS spectrum from the FE
RGIE cuvette cube and then switch to our custom-built Raman microscope to
acquire MoS2 nanoribbon spectra. W
ho Should Attend
span>: Students\, researchers in academia and industry that want to get most
out of their Raman measurements\, and advanced users interesting in heari
ng about new applications of Raman spectroscopy.  \;  \;
CATEGORIES:Education
UID:20180214T2002310Z-511394-1691@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180220T160000
DTEND;TZID=America/Sao_Paulo:20180220T170000
SUMMARY:Avionics Safety Certification Challenges with UAVs
DESCRIPTION: Unmanned aircraft\, drones\, remotely-piloted aircraft\, or w
hatever one chooses to call them are increasing in number and often stayin
g ahead of the regulatory bodies tasked with setting their safety standard
s. Compliance with FAA safety certification standards such as DO-178 B and
C for software and DO-254 for hardware is required even for military airc
raft. This e-cast of industry experts will cover the challenges with certi
fying these platforms for flight in civilian airspace and solutions for so
lving those challenges. Sponsors: dSPACE\, LDRA Moderator: John McHale\
, OpenSystems Media REGISTER NOW Unmanned aircraft\, drones\, remotely-pil
oted aircraft\, or whatever one chooses to call them are increasing in num
ber and often staying ahead of the regulatory bodies tasked with setting t
heir safety standards. Compliance with FAA safety certification standards
such as DO-178 B and C for software and DO-254 for hardware is required ev
en for military aircraft. This e-cast of industry experts will cover the c
hallenges with certifying these platforms for flight in civilian airspace
and solutions for solving those challenges. Sponsors: dSPACE\, LDRA Mode
rator: John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \; Unmanned aircraft\, drones\
, remotely-piloted aircraft\, or whatever one chooses to call them are inc
reasing in number and often staying ahead of the regulatory bodies tasked
with setting their safety standards. Compliance with FAA safety certificat
ion standards such as DO-178 B and C for software and DO-254 for hardware
is required even for military aircraft. This e-cast of industry ex
perts will cover the challenges with certifying these platforms for flight
in civilian airspace and solutions for solving those challenges.  \; | Sponsors: dSPAC
E\, LDRA Moderator: \;
John McHale\, OpenSystems Media | |
tbody> Unmanned aircraft\, drones\, remotely-piloted aircraf
t\, or whatever one chooses to call them are increasing in number and ofte
n staying ahead of the regulatory bodies tasked with setting their safety
standards. Compliance with FAA safety certification standards such as DO-1
78 B and C for software and DO-254 for hardware is required even for milit
ary aircraft. This e-cast of industry experts will cover the chall
enges with certifying these platforms for flight in civilian airspace and
solutions for solving those challenges. &n
bsp\; | Sponsors: dSPACE\, LDRA Moderator: \; John McHale\, Ope
nSystems Media | |
CATEGORIES:Education
UID:20180214T2005160Z-511394-1692@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180410T160000
DTEND;TZID=America/Sao_Paulo:20180410T170000
SUMMARY:Security is Paramount in Medical
DESCRIPTION: Security is generally at the top of the list of “must hav
es” for designers of medical devices. But what does that mean exactly? How
secure is secure? Do you know the end environment where your device will
be deployed? Are you sure? These are just the first of many questions that
must be answered if you want to proceed. And all will be asked and answer
ed on this webcast. Sponsors: LDRA\, Wittenstein Moderator: Rich Nass\, O
penSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; | |  \; Security is generally at the
top of the list of &ldquo\;must haves&rdquo\; for designers of medical dev
ices. But what does that mean exactly? How secure is secure? Do you know t
he end environment where your device will be deployed? Are you sure? These
are just the first of many questions that must be answered if you want to
proceed. And all will be asked and answered on this webcast. |
Sponsors: LDRA\, Wittenstein Moderator: \; Rich Nass\, OpenSystems
Media | | &n
bsp\;
CATEGORIES:Education
UID:20180206T1905110Z-511394-1683@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161130T140000
DTEND;TZID=America/Sao_Paulo:20161130T150000
SUMMARY:Developing Smarter for the IoT: Keep It Simple\, Secure & Sustainab
le
DESCRIPTION:The Internet of Things (IoT) has transformed how we gather and
use data\, and now represents one of the fastest growing frontiers for new
ideas. As IoT technologies evolve and the development ecosystem continues
to mature\, there are several trends that save steps\, reduce risk\, and
increase differentiation. In this webinar\, Laurent Egu\, Senior Product M
anager\, AirPrime® embedded solutions\, and Alan Chuang\, Senior Product M
anager\, Legato®\, provide tips in achieving the three S’s for IoT develop
ments. Keep It Simple – choose open source hardware and software options t
o give yourself a head start on development Keep It Secure – build in safe
guards from the start and ensure you can easily update software in the fut
ure Keep It Sustainable – minimize power consumption to save energy and re
duce waste while running devices on a battery or solar panel REGISTER
X-ALT-DESC;FMTTYPE=text/html: T
he Internet of Things (IoT) has transformed how we gather and use data\, a
nd now represents one of the fastest growing frontiers for new ideas. As I
oT technologies evolve and the development ecosystem continues to mature\,
there are several trends that save steps\, reduce risk\, and increase dif
ferentiation. In this webinar\, Laurent Egu\, Senior Product Manag
er\, AirPrime®\; embedded solutions\, and Alan Chuang\, Senior Product
Manager\, Legato®\;\, provide tips in achieving the three S&rsquo\;s fo
r IoT developments. - Keep It Simple &ndash\; choose open sou
rce hardware and software options to give yourself a head start on develop
ment
- Keep It Secure &ndash\; build in safeguards from the start
and ensure you can easily update software in the future
- Keep It
Sustainable &ndash\; minimize power consumption to save energy and reduce
waste while running devices on a battery or solar panel
REGISTER
CATEGORIES:Education
UID:20161116T1559490Z-511394-1131@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161129T150000
DTEND;TZID=America/Sao_Paulo:20161129T160000
SUMMARY:Improve the Efficiency of RF and Microwave Interference Analysis wi
th RTSA
DESCRIPTION:Why this webcast is important: Communication systems are moving
to higher frequencies\, deploying much narrower RADAR pulses and implemen
ting a highly encrypted digital wireless system for communication. To dete
ct and troubleshoot these challenging signals\, real-time signal analysis
(RTSA) capability is needed for field test. In this webcast\, we will expl
ore troubleshooting RADAR\, EW and interference issues utilizing the RTSA
technology in Keysight’s FieldFox RF/MW analyzers. Register now for this l
ive webcast
X-ALT-DESC;FMTTYPE=text/html:
Why this webcast i
s important: Communication systems are moving to higher fre
quencies\, deploying much narrower RADAR pulses and implementing a highly
encrypted digital wireless system for communication. To detect and trouble
shoot these challenging signals\, real-time signal analysis (RTSA) capabil
ity is needed for field test. In this webcast\, we will explore troubleshooting RADAR\, EW and
interference issues utilizing the RTSA technology in Keysight&rsquo\;s Fie
ldFox RF/MW analyzers. Register now for this
live webcast
CATEGORIES:Education
UID:20161116T1615510Z-511394-1136@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170327T160000
DTEND;TZID=America/Sao_Paulo:20170327T170000
SUMMARY:Hands-On Introduction to Industrial Controls - Day 1
DESCRIPTION:According to Marketsandmarkets report\, the micro and nano Prog
rammable Logic Controllers (PLCs) products will be an 8.89 billion market
by 2022. The Global PLC market will grow at a CAGR (Compound Annual Growth
Rate) of 9.23 percent over the period of 2013-2018 forecasted by TechNavi
o's analyst. Manufacturing plants use Programmable Logic Controllers in a
variety of industry applications ranging from process control to robotics
and automation systems. Non industrial applications include home security
systems\, traffic light controllers\, and entertainment animatronics. Prog
rammable Logic Controllers or PLCs are industrial computers that can read
a multitude of input wired sensors and digital signals\, process the data\
, and control electrical\, electronic\, and electromechanical loads. In th
is course\, participants will explore the inner workings of PLCs by softwa
re setup installation\, and writing Ladder Logic and Structure Text Langua
ge (STL) programs using bit instructions\, timers\, counter\, and conditio
nal statements to read analog sensors\, digital switches\, and control LED
s\, solid state relays\, electromechanical relays\, and dc motors. March 2
7 – Day 1: PLC Basics\, Velocio Software Setup\, Project: Bit Instruction-
ON/OFF Controller This introductory session discusses the PLC Architectur
e\, setting up the Velocio “vBuilder” software and building a basic ON/OFF
controller to operate piezo buzzer with LED indicator using the simulator
stick.
X-ALT-DESC;FMTTYPE=text/html:According to
Marketsandmarkets report\, the micro and nano Programmable Logic Controlle
rs (PLCs) products will be an 8.89 billion market by 2022. The Global PLC
market will grow at a CAGR (Compound Annual Growth Rate) of 9.23 percent o
ver the period of 2013-2018 forecasted by TechNavio's analyst. Manufacturi
ng plants use Programmable Logic Controllers in a variety of industry appl
ications ranging from process control to robotics and automation systems.
Non industrial applications include home security systems\, traffic light
controllers\, and entertainment animatronics. Programmable Logic Controlle
rs or PLCs are industrial computers that can read a multitude of input wir
ed sensors and digital signals\, process the data\, and control electrical
\, electronic\, and electromechanical loads.
In this course\, p
articipants will explore the inner workings of PLCs by software setup inst
allation\, and writing Ladder Logic and Structure Text Language (STL) prog
rams using bit instructions\, timers\, counter\, and conditional statement
s to read analog sensors\, digital switches\, and control LEDs\, solid sta
te relays\, electromechanical relays\, and dc motors. -
<
a href='https://www.designnews.com/continuing-education-center/march-27-da
y-1-plc-basics-velocio-software-setup-project-bit-instruction-onoff-contro
ller' rel='bookmark'>March 27 &ndash\; Day 1: PLC Basics\, Velocio Softwar
e Setup\, Project: Bit Instruction- ON/OFF Controller
This introductory session discus
ses the PLC Architecture\, setting up the Velocio &ldquo\;vBuilder&rdquo\;
software and building a basic ON/OFF controller to operate piezo buzzer w
ith LED indicator using the simulator stick.
CATEGORIES:Education
UID:20170327T1329350Z-511394-1286@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170328T160000
DTEND;TZID=America/Sao_Paulo:20170328T170000
SUMMARY:Hands-On Introduction to Industrial Controls - Day 2
DESCRIPTION:According to Marketsandmarkets report\, the micro and nano Prog
rammable Logic Controllers (PLCs) products will be an 8.89 billion market
by 2022. The Global PLC market will grow at a CAGR (Compound Annual Growth
Rate) of 9.23 percent over the period of 2013-2018 forecasted by TechNavi
o's analyst. Manufacturing plants use Programmable Logic Controllers in a
variety of industry applications ranging from process control to robotics
and automation systems. Non industrial applications include home security
systems\, traffic light controllers\, and entertainment animatronics. Prog
rammable Logic Controllers or PLCs are industrial computers that can read
a multitude of input wired sensors and digital signals\, process the data\
, and control electrical\, electronic\, and electromechanical loads. In th
is course\, participants will explore the inner workings of PLCs by softwa
re setup installation\, and writing Ladder Logic and Structure Text Langua
ge (STL) programs using bit instructions\, timers\, counter\, and conditio
nal statements to read analog sensors\, digital switches\, and control LED
s\, solid state relays\, electromechanical relays\, and dc motors. March 2
8 – Day 2: PLC Bit Instruction Basics\, Examine IF Open\, Examine IF Close
\, Project: Bit Instruction- Logic Based Controllers This class is a conti
nuation of the introductory PLC Basics session with emphasis on Examine IF
Open (XIO) and Examine IF Closed (XIC) bit instructions. Basic logic gate
s (AND\, OR\, NOT) will be discussed. Participants will learn to write Lad
der Logic programs using logic gates to operate a solid state relay to con
trol a small dc motor.
X-ALT-DESC;FMTTYPE=text/html:According to
Marketsandmarkets report\, the micro and nano Programmable Logic Controlle
rs (PLCs) products will be an 8.89 billion market by 2022. The Global PLC
market will grow at a CAGR (Compound Annual Growth Rate) of 9.23 percent o
ver the period of 2013-2018 forecasted by TechNavio's analyst. Manufacturi
ng plants use Programmable Logic Controllers in a variety of industry appl
ications ranging from process control to robotics and automation systems.
Non industrial applications include home security systems\, traffic light
controllers\, and entertainment animatronics. Programmable Logic Controlle
rs or PLCs are industrial computers that can read a multitude of input wir
ed sensors and digital signals\, process the data\, and control electrical
\, electronic\, and electromechanical loads.
In this course\, p
articipants will explore the inner workings of PLCs by software setup inst
allation\, and writing Ladder Logic and Structure Text Language (STL) prog
rams using bit instructions\, timers\, counter\, and conditional statement
s to read analog sensors\, digital switches\, and control LEDs\, solid sta
te relays\, electromechanical relays\, and dc motors. -
<
a href='https://www.designnews.com/continuing-education-center/march-28-da
y-2-plc-bit-instruction-basics-examine-if-open-examine-if-close-project-bi
t-instruction' rel='bookmark'>March 28 &ndash\; Day 2: PLC Bit Instruction
Basics\, Examine IF Open\, Examine IF Close\, Project: Bit Instruction- L
ogic Based Controllers This class is a continuation of the introductory PLC Basics ses
sion with emphasis on Examine IF Open (XIO) and Examine IF Closed (XIC) bi
t instructions. Basic logic gates (AND\, OR\, NOT) will be discussed. Part
icipants will learn to write Ladder Logic programs using logic gates to op
erate a solid state relay to control a small dc motor. \;
CATEGORIES:Education
UID:20170327T1331200Z-511394-1287@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170329T160000
DTEND;TZID=America/Sao_Paulo:20170329T170000
SUMMARY:Hands-On Introduction to Industrial Controls - Day 3
DESCRIPTION:According to Marketsandmarkets report\, the micro and nano Prog
rammable Logic Controllers (PLCs) products will be an 8.89 billion market
by 2022. The Global PLC market will grow at a CAGR (Compound Annual Growth
Rate) of 9.23 percent over the period of 2013-2018 forecasted by TechNavi
o's analyst. Manufacturing plants use Programmable Logic Controllers in a
variety of industry applications ranging from process control to robotics
and automation systems. Non industrial applications include home security
systems\, traffic light controllers\, and entertainment animatronics. Prog
rammable Logic Controllers or PLCs are industrial computers that can read
a multitude of input wired sensors and digital signals\, process the data\
, and control electrical\, electronic\, and electromechanical loads. In th
is course\, participants will explore the inner workings of PLCs by softwa
re setup installation\, and writing Ladder Logic and Structure Text Langua
ge (STL) programs using bit instructions\, timers\, counter\, and conditio
nal statements to read analog sensors\, digital switches\, and control LED
s\, solid state relays\, electromechanical relays\, and dc motors. March 2
9 – Day 3: PLC Counter Instruction Basics\, Count Up and Count Down Counte
rs\, Project: A Parts Counter with Alarm Controller PLC counter instructio
ns (Count Up and Count Down) will be explored in Day 3 of the 5-day webina
r course. Participants will learn to write Count Up and Count Down Ladder
Logic programs by building a Parts Counter with Audible Alarm Controller.
X-ALT-DESC;FMTTYPE=text/html:According to
Marketsandmarkets report\, the micro and nano Programmable Logic Controlle
rs (PLCs) products will be an 8.89 billion market by 2022. The Global PLC
market will grow at a CAGR (Compound Annual Growth Rate) of 9.23 percent o
ver the period of 2013-2018 forecasted by TechNavio's analyst. Manufacturi
ng plants use Programmable Logic Controllers in a variety of industry appl
ications ranging from process control to robotics and automation systems.
Non industrial applications include home security systems\, traffic light
controllers\, and entertainment animatronics. Programmable Logic Controlle
rs or PLCs are industrial computers that can read a multitude of input wir
ed sensors and digital signals\, process the data\, and control electrical
\, electronic\, and electromechanical loads.
In this course\, p
articipants will explore the inner workings of PLCs by software setup inst
allation\, and writing Ladder Logic and Structure Text Language (STL) prog
rams using bit instructions\, timers\, counter\, and conditional statement
s to read analog sensors\, digital switches\, and control LEDs\, solid sta
te relays\, electromechanical relays\, and dc motors. -
<
a href='https://www.designnews.com/continuing-education-center/march-29-da
y-3-plc-counter-instruction-basics-count-and-count-down-counters-project-p
arts-counter' rel='bookmark'>March 29 &ndash\; Day 3: PLC Counter Instruct
ion Basics\, Count Up and Count Down Counters\, Project: A Parts Counter w
ith Alarm Controller PLC counter instructions (Count Up and Count Down) will be explor
ed in Day 3 of the 5-day webinar course. Participants will learn to write
Count Up and Count Down Ladder Logic programs by building a Parts Counter
with Audible Alarm Controller.
CATEGORIES:Education
UID:20170327T1332080Z-511394-1288@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170330T160000
DTEND;TZID=America/Sao_Paulo:20170330T170000
SUMMARY:Hands-On Introduction to Industrial Controls - Day 4
DESCRIPTION:According to Marketsandmarkets report\, the micro and nano Prog
rammable Logic Controllers (PLCs) products will be an 8.89 billion market
by 2022. The Global PLC market will grow at a CAGR (Compound Annual Growth
Rate) of 9.23 percent over the period of 2013-2018 forecasted by TechNavi
o's analyst. Manufacturing plants use Programmable Logic Controllers in a
variety of industry applications ranging from process control to robotics
and automation systems. Non industrial applications include home security
systems\, traffic light controllers\, and entertainment animatronics. Prog
rammable Logic Controllers or PLCs are industrial computers that can read
a multitude of input wired sensors and digital signals\, process the data\
, and control electrical\, electronic\, and electromechanical loads. In th
is course\, participants will explore the inner workings of PLCs by softwa
re setup installation\, and writing Ladder Logic and Structure Text Langua
ge (STL) programs using bit instructions\, timers\, counter\, and conditio
nal statements to read analog sensors\, digital switches\, and control LED
s\, solid state relays\, electromechanical relays\, and dc motors. March 3
0 – Day 4: PLC Timer Instruction Basics\, Timed Delay ON\, Timed Delay Off
: Project Programmable Timer In this session\, participants will learn to
program industrial controls using timer instructions. The Timed Delay ON (
TON) and Timed Delay OFF (TOF) PLC instructions will be discussed followed
by participants building a BLE (Bluetooth Low Energy) enabled Programmabl
e Timer using an Arduino 101 microcontroller development platform.
X-ALT-DESC;FMTTYPE=text/html:According to
Marketsandmarkets report\, the micro and nano Programmable Logic Controlle
rs (PLCs) products will be an 8.89 billion market by 2022. The Global PLC
market will grow at a CAGR (Compound Annual Growth Rate) of 9.23 percent o
ver the period of 2013-2018 forecasted by TechNavio's analyst. Manufacturi
ng plants use Programmable Logic Controllers in a variety of industry appl
ications ranging from process control to robotics and automation systems.
Non industrial applications include home security systems\, traffic light
controllers\, and entertainment animatronics. Programmable Logic Controlle
rs or PLCs are industrial computers that can read a multitude of input wir
ed sensors and digital signals\, process the data\, and control electrical
\, electronic\, and electromechanical loads.
In this course\, p
articipants will explore the inner workings of PLCs by software setup inst
allation\, and writing Ladder Logic and Structure Text Language (STL) prog
rams using bit instructions\, timers\, counter\, and conditional statement
s to read analog sensors\, digital switches\, and control LEDs\, solid sta
te relays\, electromechanical relays\, and dc motors. -
<
a href='https://www.designnews.com/continuing-education-center/march-30-da
y-4-plc-timer-instruction-basics-timed-delay-on-timed-delay-project-progra
mmable-timer' rel='bookmark'>March 30 &ndash\; Day 4: PLC Timer Instructio
n Basics\, Timed Delay ON\, Timed Delay Off: Project Programmable Timer
a> In this sessi
on\, participants will learn to program industrial controls using timer in
structions. The Timed Delay ON (TON) and Timed Delay OFF (TOF) PLC instruc
tions will be discussed followed by participants building a BLE (Bluetooth
Low Energy) enabled Programmable Timer using an Arduino 101 microcontroll
er development platform. \;
CATEGORIES:Education
UID:20170327T1333290Z-511394-1289@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170331T160000
DTEND;TZID=America/Sao_Paulo:20170331T170000
SUMMARY:Hands-On Introduction to Industrial Controls - Day 5
DESCRIPTION:According to Marketsandmarkets report\, the micro and nano Prog
rammable Logic Controllers (PLCs) products will be an 8.89 billion market
by 2022. The Global PLC market will grow at a CAGR (Compound Annual Growth
Rate) of 9.23 percent over the period of 2013-2018 forecasted by TechNavi
o's analyst. Manufacturing plants use Programmable Logic Controllers in a
variety of industry applications ranging from process control to robotics
and automation systems. Non industrial applications include home security
systems\, traffic light controllers\, and entertainment animatronics. Prog
rammable Logic Controllers or PLCs are industrial computers that can read
a multitude of input wired sensors and digital signals\, process the data\
, and control electrical\, electronic\, and electromechanical loads. In th
is course\, participants will explore the inner workings of PLCs by softwa
re setup installation\, and writing Ladder Logic and Structure Text Langua
ge (STL) programs using bit instructions\, timers\, counter\, and conditio
nal statements to read analog sensors\, digital switches\, and control LED
s\, solid state relays\, electromechanical relays\, and dc motors. March 3
1 – Day 5: Arduino PLC\, plclib\, Project: DC Motor Controller In this fin
al session of the 5-day webinar course\, participants will learn to build
an Arduino PLC using the open source plclib software. Optoisolators and el
ectromechanical relays will be discussed followed by participants building
a digital lock enabled dc motor controller.
X-ALT-DESC;FMTTYPE=text/html:According to
Marketsandmarkets report\, the micro and nano Programmable Logic Controlle
rs (PLCs) products will be an 8.89 billion market by 2022. The Global PLC
market will grow at a CAGR (Compound Annual Growth Rate) of 9.23 percent o
ver the period of 2013-2018 forecasted by TechNavio's analyst. Manufacturi
ng plants use Programmable Logic Controllers in a variety of industry appl
ications ranging from process control to robotics and automation systems.
Non industrial applications include home security systems\, traffic light
controllers\, and entertainment animatronics. Programmable Logic Controlle
rs or PLCs are industrial computers that can read a multitude of input wir
ed sensors and digital signals\, process the data\, and control electrical
\, electronic\, and electromechanical loads.
In this course\, p
articipants will explore the inner workings of PLCs by software setup inst
allation\, and writing Ladder Logic and Structure Text Language (STL) prog
rams using bit instructions\, timers\, counter\, and conditional statement
s to read analog sensors\, digital switches\, and control LEDs\, solid sta
te relays\, electromechanical relays\, and dc motors. -
<
a href='https://www.designnews.com/continuing-education-center/march-31-da
y-5-arduino-plc-plclib-project-dc-motor-controller' rel='bookmark'>March 3
1 &ndash\; Day 5: Arduino PLC\, plclib\, Project: DC Motor Controller<
/p>
In this final se
ssion of the 5-day webinar course\, participants will learn to build an Ar
duino PLC using the open source plclib software. Optoisolators and electro
mechanical relays will be discussed followed by participants building a di
gital lock enabled dc motor controller.
CATEGORIES:Education
UID:20170327T1334190Z-511394-1290@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170308T110000
DTEND;TZID=America/Sao_Paulo:20170308T120000
SUMMARY:Accelerate Your Electric Motor Control Designs
DESCRIPTION:Designing electrical drives and motor control systems for autom
otive applications can be a challenge due to system complexity and the rea
l-time nature of the application. During this 45-minute Webinar\, we will
demonstrate how to shorten the time required to develop automotive control
applications using the motor control solutions ecosystem from NXP. Speake
rs will address 3-phase permanent magnet synchronous motor (PMSM) and brus
hed DC (BLDC) motor control solutions. Attendees will learn how to simplif
y the design process\, debug faults\, and start new applications. For mo
re information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Designing ele
ctrical drives and motor control systems for automotive applications can b
e a challenge due to system complexity and the real-time nature of the app
lication.
During this 45-minute Webinar\, we will demonstrate
how to shorten the time required to develop automotive control applicatio
ns using the motor control solutions ecosystem from NXP.
Spea
kers will address 3-phase permanent magnet synchronous motor (PMSM) and br
ushed DC (BLDC) motor control solutions. Attendees will learn how to simpl
ify the design process\, debug faults\, and start new applications. <
p style='text-align: justify\;'> \; For more information and to register\, click here.
CATEGORIES:Education
UID:20170224T1321480Z-511394-1236@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170404T150000
DTEND;TZID=America/Sao_Paulo:20170404T160000
SUMMARY:Specialty Fiber: Laser Power and Energy Delivery
DESCRIPTION:In this webcast\, Senior Editor John Wallace describes the vari
ous types of laser power- and energy-delivering fibers and gives numerous
product examples. What You'll Learn: Principles of fiber-based laser l
ight delivery What types of lasers are used with fiber delivery Wavelength
s\, powers\, pulse energies Limits in power and energy Numerous product ex
amples Who Should Attend: Laser engineering Research and development Ind
ustrial engineering Applications engineering Engineering management
X-ALT-DESC;FMTTYPE=text/html:In this webcast\, Senior Editor John Wallace describes the
various types of laser power- and energy-delivering fibers and gives numer
ous product examples.  \; <
strong>What You'll Learn:  \; - Principles of fiber-based laser light d
elivery
- What types of lasers are used with fiber delivery
Wavelengths\, powers\,
pulse energies - Limits in power and energy
- Numerous product examples
 \; Who Should Attend:
- Laser engineering<
/li>
- Research a
nd development
- Industrial engineering
- Applications engineering
- Engineering managem
ent
&nb
sp\;
CATEGORIES:Education
UID:20170328T1657020Z-511394-1292@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170425T120000
DTEND;TZID=America/Sao_Paulo:20170425T130000
SUMMARY:Protect your firmware against malicious attacks
DESCRIPTION:. In today's Internet of Things (IoT) world of connected device
s\, phishing scams perpetrated by re-purposing end nodes is a real threat.
Therefore\, having a security policy that prevents malicious firmware fro
m being installed onto your devices is critical. Don't leave yourself open
to the threat of attackers taking over your designs and gaining access to
sensitive information. Join us for this webinar to learn: How to secure y
our designs and gain the freedom needed to innovate and conquer the IoT Ab
out hardware and software offerings available with the Kinetis MCU portfol
io that can help you protect against these attacks Featured Speaker: Donni
e Garcia\, Solutions Architect for Secure Transactions\, NXP Register no
w »
X-ALT-DESC;FMTTYPE=text/html: . In today's Internet of Things (IoT) world of
connected devices\, phishing scams perpetrated by re-purposing end nodes
is a real threat. Therefore\, having a security policy that prevents malic
ious firmware from being installed onto your devices is critical. Don't le
ave yourself open to the threat of attackers taking over your designs and
gaining access to sensitive information. Join us for this webinar to learn: - How to secure your designs a
nd gain the freedom needed to innovate and conquer the IoT
<
li class='b-list-item' style='margin: 5px 0 5px 0\; list-style: disc\; col
or: #f9b500\; font-size: 16px\; line-height: 20px\; font-family: Avenir\,
HelveticaNeue\, Calibri\, sans-serif\; font-weight: normal\;'> About h
ardware and software offerings available with the Kinetis MCU portfolio th
at can help you protect against these attacks Featured Speaker: Donnie Garcia\, Solutions Architect f
or Secure Transactions\, NXP  \; Register \;now \;»\;
p> |  \;  \;
CATEGORIES:Education
UID:20170328T1723390Z-511394-1293@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170330T150000
DTEND;TZID=America/Sao_Paulo:20170330T160000
SUMMARY:Test at Breakneck Speeds with System Power Supplies
DESCRIPTION: Why this webcast is important Improving test throughput is a
challenge most anyone involved with providing electronic products\, assemb
lies\, or components is faced with in order to remain competitive. Making
even small improvements is deemed very worthwhile as it reduces cost in a
number of direct ways\, such as operator test time\, and indirect ways\, s
uch as improved workflow. Learn how the test system DC power supply can ha
ve a large impact on test time in a multitude of ways\, from fundamental s
peed performance differences that can take seconds off test time\, to adva
nced capabilities that can squeeze every last millisecond out of that spec
ialized component test need! Who should attend Engineers performing te
sts in development\, design validation\, and manufacturing where improving
test throughput is a critical goal.
X-ALT-DESC;FMTTYPE=text/html:  \; | Why this webca
st is important Improving test throughput is a chall
enge most anyone involved with providing electronic products\, assemblies\
, or components is faced with in order to remain competitive. Making even
small improvements is deemed very worthwhile as it reduces cost in a numbe
r of direct ways\, such as operator test time\, and indirect ways\, such a
s improved workflow. Learn how the test system DC p
ower supply can have a large impact on test time in a multitude of ways\,
from fundamental speed performance differences that can take seconds off t
est time\, to advanced capabilities that can squeeze every last millisecon
d out of that specialized component test need! | | &n
bsp\; |
 \; | Who should attend Engineers performing tests in development\, design vali
dation\, and manufacturing where improving test throughput is a critical g
oal. | |  \;
CATEGORIES:Education
UID:20170328T1729080Z-511394-1294@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170330T140000
DTEND;TZID=America/Sao_Paulo:20170330T150000
SUMMARY:The Power of Building A Secure Network Infrastructure
DESCRIPTION: The continuing trend in Industrial Automation is the converge
nce of technology - industrial automation technology with information tech
nology. The convergence of the plant-floor and enterprise networks increas
es access to production data\, which enables manufacturers to make better
business decisions. This business agility provides a competitive edge for
manufacturers that embrace convergence. With these opportunities\, come
challenges. Network convergence exposes industrial assets to security thr
eats traditionally found in the enterprise. Implementing best practices fr
om both engineering and IT along with the recommendations described in Con
verged Plantwide Ethernet (CPwE) Architectures will help you establish the
secure\, scalable and robust network infrastructure needed to facilitate
industrial and enterprise network convergence. Join Smart Industry on M
arch 30th and learn more: How to define a reference architecture and why
they're important How these architectures support successful deployment o
f a connected enterprise Best practices for establishing a secure\, scalab
le and robust network infrastructure to support IT and OT convergence Ot
her participants for the Q&A portion will be: Terry Schowe\, Cisco Mike
Berg\, Panduit Paul Brooks\, Rockwell Automation REGISTER NOW!
X-ALT-DESC;FMTTYPE=text/html: \; The continuing trend in Industrial Automation is the convergence of tech
nology - industrial automation technology with information technology. The
convergence of the plant-floor and enterprise networks increases access t
o production data\, which enables manufacturers to make better business de
cisions. This business agility provides a competitive edge for manufacture
rs that embrace convergence. \;  \; With these opportunities\, come challenges. Network converg
ence exposes industrial assets to security threats traditionally found in
the enterprise. Implementing best practices from both engineering and IT a
long with the recommendations described in Converged Plantwide Ethernet (C
PwE) Architectures will help you establish the secure\, scalable and robus
t network infrastructure needed to facilitate industrial and enterprise ne
twork convergence. \;  \; Join Smart Industry on March 30th and learn more:  \; - How to define a reference architecture and wh
y they're important
- How these architectures support successful d
eployment of a connected enterprise
- Best practices for establish
ing a secure\, scalable and robust network infrastructure to support IT an
d OT convergence
 \; Ot
her participants for the Q&\;A portion will be:  \; - Terry Schowe\, Cisco \;
- Mike Berg\, Panduit \;
- Pau
l Brooks\, Rockwell Automation
REGISTER NOW!<
/span>
CATEGORIES:Education
UID:20170328T1745280Z-511394-1296@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170412T130000
DTEND;TZID=America/Sao_Paulo:20170412T140000
SUMMARY:Building Auto Products that Meet Functional Safety Requirements and
Delight Customers
DESCRIPTION: 83% of Auto industry executives believe that there will be a
major business model disruption in the automotive industry within the next
five years. Autonomous driving\, electrification\, infotainment\, connect
ivity…in the face of the rapidly changing market\, successful automotive s
uppliers rely on a solid requirements management process to maintain compl
iance while not missing deadlines. The evolving landscape also brings in n
ew entrants who have never had to deal with regulatory overhead and functi
onal safety standards. New entrants face an uphill challenge developing ne
w products while meeting applicable functional safety requirements. Join u
s as Automotive industry experts AutoSens and Jama Software discuss trends
in the automotive industry\, the impact of regulatory overhead on design
cycles\, and what that means for the market. Speaker: Andrew Byers\, Jama
Software Moderator: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | <
td style='padding: 20px\; padding-top: 0px\;' colspan='2'> 83% of Auto
industry executives believe that there will be a major business model dis
ruption in the automotive industry within the next five years. Autonomous
driving\, electrification\, infotainment\, connectivity&hellip\;in the fac
e of the rapidly changing market\, successful automotive suppliers rely on
a solid requirements management process to maintain compliance while not
missing deadlines. The evolving landscape also brings in new entrants who
have never had to deal with regulatory overhead and functional safety stan
dards. New entrants face an uphill challenge developing new products while
meeting applicable functional safety requirements. Join us as Aut
omotive industry experts AutoSens and Jama Software discuss trends in the
automotive industry\, the impact of regulatory overhead on design cycles\,
and what that means for the market. Speaker: Andrew Byers\, Jama Software Moderator: \; Curt Schwaderer\, OpenSystems Media
| |  \;
CATEGORIES:Education
UID:20170328T1811570Z-511394-1299@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170329T140000
DTEND;TZID=America/Sao_Paulo:20170329T150000
SUMMARY:SimpliPHY™ - Easy solution for adding Ethernet connectivity
DESCRIPTION:Overview: Find out how easy it is to add ethernet connectivit
y to your industrial and general embedded applications. Attendees will lea
rn: How to get started adding ethernet connectivity to their design. Under
standing of how ethernet connectivity can be used in emerging applications
. Best Microsemi ethernet phy to use depending on your application. » Reg
ister Today
X-ALT-DESC;FMTTYPE=text/html:Overview:  \; | Find
out how easy it is to add ethernet connectivity to your industrial and gen
eral embedded applications. | Attendees will learn: | - How to get started adding ethernet connectivity
to their design.
- Understanding of how ethernet connectivity can
be used in emerging applications.
- Best Microsemi ethernet phy to
use depending on your application. \;
|
»\; Register
Today |  \;
CATEGORIES:Education
UID:20170321T1323370Z-511394-1271@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170330T160000
DTEND;TZID=America/Sao_Paulo:20170330T170000
SUMMARY:Zero-Drift Operational Amplifiers: Architecture Overview and Design
Considerations
DESCRIPTION:Summary As the need for highly precise operational amplifiers c
ontinues to grow\, the use of self-correcting architectures has become mor
e and more popular. This Webinar will focus on zero-drift amplifiers\, pro
viding an overview of the zero-drift architectures and explore various des
ign considerations inherent to these devices\, including noise considerati
ons\, leakage currents\, output characteristics and minimizing thermal rel
ated drifts. Attendees will: - Understand how 'zero-drift' amplifiers are
defined within the industry - Gain a working knowledge of zero-drift archi
tectures and the advantages they enable - Have an appreciation for various
design considerations when implementing zero-drift amplifiers REGISTER
X-ALT-DESC;FMTTYPE=text/html: As the need for highly precise operational amplif
iers continues to grow\, the use of self-correcting architectures has beco
me more and more popular. This Webinar will focus on zero-drift amplifiers
\, providing an overview of the zero-drift architectures and explore vario
us design considerations inherent to these devices\, including noise consi
derations\, leakage currents\, output characteristics and minimizing therm
al related drifts. Attendees will: - Understand how 'zero-dr
ift' amplifiers are defined within the industry - Gain a working kno
wledge of zero-drift architectures and the advantages they enable -
Have an appreciation for various design considerations when implementing z
ero-drift amplifiers  \; REGISTER
CATEGORIES:Education
UID:20170321T1544220Z-511394-1284@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170406T130000
DTEND;TZID=America/Sao_Paulo:20170406T140000
SUMMARY:Precise motion sensing with 24GHz industrial radar
DESCRIPTION:Summary The capabilities of 24GHz radar enable the ability to p
rovide smarter solutions to legacy applications such as requiring a manual
switch to turn lights on\, through to enabling completely new use cases s
uch as collision avoidance within multicopters. The following webinar will
provide you with further background to Infineon's 24GHz solution\, includ
ing examples of markets and use cases in which radar can enable\, or impro
ve your application. Infineon provides a comprehensive range of 24GHz indu
strial radar MMIC chips supporting several markets and use cases ranging f
rom multicopter through to smart home applications. REGISTER
X-ALT-DESC;FMTTYPE=text/html: The capabilities of 24GHz radar enable the abilit
y to provide smarter solutions to legacy applications such as requiring a
manual switch to turn lights on\, through to enabling completely new use c
ases such as collision avoidance within multicopters. The following webina
r will provide you with further background to Infineon's 24GHz solution\,
including examples of markets and use cases in which radar can enable\, or
improve your application. Infineon provides a comprehensive range
of 24GHz industrial radar MMIC chips supporting several markets and use c
ases ranging from multicopter through to smart home applications.
 \; REGISTER
CATEGORIES:Education
UID:20170321T1547220Z-511394-1285@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170328T160000
DTEND;TZID=America/Sao_Paulo:20170328T170000
SUMMARY:Critical ERP Implementation Elements for Small and Mid-Sized Enterp
rises
DESCRIPTION:Attendees qualify for a Certificate of Completion Business-pr
ocess management (BPM) and enterprise resources planning (ERP) benefits ar
e best realized when a tight link is established between implementation ap
proach and BPM performance measures. What are these measures\, and how can
they be used during an implementation\, and after\, to streamline work an
d eliminate unnecessary steps? Featured Speakers: George Trudell\, Partn
er\, Ultra Consultants Terri Hiskey\, Vice President of Product Marketing\
, Epicor John Preiditsch\, President\, Six S Partners Inc. Moderator: Kevi
n Parker\, Contributing Editor\, CFE Media CLICK HERE TO REGISTER FOR THE
FULL ERP WEBCAST SERIES
X-ALT-DESC;FMTTYPE=text/html:Attendees qualify for a Certifi
cate of Completion  \; Business-process
management (BPM) and enterprise resources planning (ERP) benefits are best
realized when a tight link is established between implementation approach
and BPM performance measures. What are these measures\, and how can they
be used during an implementation\, and after\, to streamline work and elim
inate unnecessary steps?  \; Featured Speakers
: - George Trudell\, Partner\, Ultra Consultants
- Terri Hiskey\, Vice President of Product Marketing\, Epicor
<
li>John Preiditsch\, President\, Six S Partners Inc. Moderator: Kevin Parker\, Contributing Editor\, CFE Media CLICK HERE TO REGISTER FOR THE FULL ERP WEBCAST SERIES
CATEGORIES:Education
UID:20170321T1533360Z-511394-1283@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200921T130000
DTEND;TZID=America/Sao_Paulo:20200921T140000
SUMMARY:A Designer’s Guide to Silicon Carbide: Practical Applications
DESCRIPTION: From watts to megawatts\, Wolfspeed SiC has enabled countle
ss applications across automotive\, industrial and energy markets. This we
binar will highlight several common applications and review why designers
are increasingly selecting SiC over incumbent technologies. In this instal
lment of the Designer’s Guide to Silicon Carbide webinar series\, you will
learn about: AC-DC PFC applications and the real benefits of SiC over sil
icon DC-DC applications and the real benefits of SiC over silicon DC-AC in
verter applications and the real benefits of SiC over silicon Techniques t
o implement SiC in specific circuit topologies Examples of how SiC outperf
orms silicon in end systems Register Now
X-ALT-DESC;FMTTYPE=text/html: \;  \; From watts to megawatts\, Wolfspeed SiC has enabled countle
ss applications across automotive\, industrial and energy markets. \;This webinar \;will highlight seve
ral common applications and review why designers are increasingly selectin
g SiC over incumbent technologies. In th
is installment of the \;Designer&rsquo\;s Guide to Silicon Carbide \;webinar series\, \;you will learn about:
- AC-DC PFC applications and the real benefits of SiC over silicon
- DC-DC applications and the real benefits of SiC over silicon
DC-AC inverter applications and the real benefits of SiC over silicon - Techniques to implement SiC in specific circuit topologies
<
li>Examples of how SiC outperforms silicon in end systems Register Now |  \;
CATEGORIES:Education
UID:20200910T1511540Z-511394-2262@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170426T130000
DTEND;TZID=America/Sao_Paulo:20170426T140000
SUMMARY:A New Era of Ultra-High Resolution Cameras & Sensors for Security a
nd Surveillance
DESCRIPTION:Throughout the past three years\, the imaging industry has seen
a vast number of new CMOS and CCD image sensor product releases that seem
to be chasing a technological holy grail of the highest resolution ever d
esigned. Many of these sensors have been made into amazing cameras for ind
ustrial machine vision applications. These cameras offer performance need
by many demanding vision applications\, but also present challenges in opt
ics and interfaces. Aerial and ground surveillance are among the applicati
ons where these new cameras are being used\, and a free webcast on April 2
6 will go into detail about the latest advances\, challenges\, and solutio
ns regarding this technology. What You'll Learn: System challenges tha
t exist in deploying ultra-high resolution cameras in security/surveillanc
e What is driving this new era in ultra-high resolution sensor technology
The latest cameras and sensors that are being used Application examples us
ing ultra-high resolution cameras REGISTER
X-ALT-DESC;FMTTYPE=text/html:Throughout the past three years\, the imaging industry has
seen a vast number of new CMOS and CCD image sensor product releases that
seem to be chasing a technological holy grail of the highest resolution ev
er designed. Many of these sensors have been made into amazing cameras for
industrial machine vision applications.
These cameras offer
performance need by many demanding vision applications\, but also present
challenges in optics and interfaces. Aerial and ground surveillance are am
ong the applications where these new cameras are being used\, and a free w
ebcast on April 26 will go into detail about the latest advances\, challen
ges\, and solutions regarding this technology.  \; What You'll Learn:  \; - System challenges that exist in
deploying ultra-high resolution cameras in security/surveillance
li>
- What is dri
ving this new era in ultra-high resolution sensor technology
- The latest camer
as and sensors that are being used
- Application examples using ultra-high reso
lution cameras
 \;  \; &nbs
p\; REGISTER
CATEGORIES:Education
UID:20170419T1850190Z-511394-1327@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200919T200000
DTEND;TZID=America/Sao_Paulo:20200919T210000
SUMMARY:LIVE - Sistemas embarcados - Sua importância atualmente - Fabio Sou
za convida Hérika Ströngreen
DESCRIPTION: Nessa live convido a Hérika Ströngreen para um super bate pap
o sobre sistemas embarcados. Herika irá compartilhar seus projetos e falar
sobre a importância dos sistemas embarcados atualmente.
X-ALT-DESC;FMTTYPE=text/html: \; Nessa live convido a Hé\;rika Str&
ouml\;ngreen para um super bate papo sobre sistemas embarcados. Herika ir&
aacute\; compartilhar seus projetos e falar sobre a importâ\;ncia dos
sistemas embarcados atualmente.
CATEGORIES:Education
UID:20200917T2303410Z-511394-2279@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200918T190000
DTEND;TZID=America/Sao_Paulo:20200918T200000
SUMMARY:Encontro semanal da Comunidade Embarcados
DESCRIPTION: Seja bem vindo ao encontro semanal da comunidade embarcados.
Um encontro para colocar o papo em dia e trazer as novidades na nossa área
.
X-ALT-DESC;FMTTYPE=text/html: \; Seja bem vindo ao encontro semanal da co
munidade embarcados. Um encontro para colocar o papo em dia e trazer as no
vidades na nossa á\;rea.
CATEGORIES:Education
UID:20200917T2256200Z-511394-2278@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170329T193000
DTEND;TZID=America/Sao_Paulo:20170329T203000
SUMMARY:Metodologias de Desenvolvimento de Software Embarcado
DESCRIPTION:A única certeza que temos durante o desenvolvimento de um proje
to é que os seus requisitos vão mudar\, e não adianta lutar contra isso. P
ois bem\, a Engenharia de Software se preocupa com isso\, e a área de sist
emas eletrônicos embarcados precisa estar alinhada com esse pensamento.
Mas um projeto de um sistema embarcado não envolve somente software\, cert
o? Tem uma pecinha chamada hardware\, que influencia diretamente nos requi
sitos e processo de desenvolvimento. Existem limitações de memória\, proce
ssamento\, interface\, etc\, e tudo isso deve ser levado em consideração.
Como gerenciar um projeto de software embarcado por meio de metodologias
de desenvolvimento de software\, desde a sua concepção até a sua implanta
ção em campo\, é o objetivo deste webinar. Faremos um bate bapo com Marce
lo Barros (Engenheiro de desenvolvimento eletrônico) e Felipe Lavratti (Fr
eelancer)\, dois especialistas da área\, e cobriremos alguns tópicos muito
importantes\, como: Introdução sobre metodologias de SW disponíveis Qual
metodologia usar? Depende do tamanho do projeto? E se tiver sistema opera
cional envolvido? Hardware influência no desenvolvimento de SW? Prototipag
em\, testes de hardware\, etc. Desenvolvimento ágil...serve para embarcado
s? Levantamento de requisitos\, modelagem\, arquitetura. Tem espaço para i
sso no dia a dia? Qual a importância deles? Como evitar/reduzir bugs? Cont
role de versão é necessário? Controle de qualidade com inspeção de código
(análise estática\, code review\, padrão de codificação\, etc) Como inseri
r testes num processo de um produto eletrônico embarcado? Aproveite essa
oportunidade para participar e interagir com os convidados através da seç
ão de perguntas e respostas\, ao final do webinar. Data: 29/Março Horári
o: 19:30 h Inscreva-se gratuitamente em: Metodologias de Desenvolvimento d
e Software Embarcado
X-ALT-DESC;FMTTYPE=text/html: A
ú\;nica certeza que temos durante o desenvolvimento de um projeto &
eacute\; que os seus requisitos vã\;o mudar\, e nã\;o adianta
lutar contra isso. Pois bem\, a Engenharia de Software se preocupa com iss
o\, e a á\;rea de sistemas eletrô\;nicos embarcados precisa est
ar alinhada com esse pensamento. &nb
sp\; Mas um projeto de um sistema em
barcado nã\;o envolve somente software\, certo? Tem uma pecinha cham
ada hardware\, que influencia diretamente nos requisitos e processo de des
envolvimento. Existem limitaç\;õ\;es de memó\;ria\, proc
essamento\, interface\, etc\, e tudo isso deve ser levado em considera&cce
dil\;ã\;o.  \; Como gerenciar um projeto de software embarcado
por meio de metodologias de desenvolvimento de software\, desde a sua con
cepç\;ã\;o até\; a sua implantaç\;ã\;o em ca
mpo\,  \;é\; o objetivo deste webinar. Faremos um bate bapo com
Marcelo Barros \;(Engenheiro de desenvolvimento eletr
ô\;nico) e Felipe Lavratti \;(Freelancer)\, dois
especialistas da á\;rea\, e cobriremos alguns tó\;picos muito
importantes\, como: \; - Introduç\;ã
\;o sobre metodologias de SW disponí\;veis
-
Qual metodologia usar? Depende do tamanho do projeto? E se tiver sistema o
peracional envolvido?
- Hardware influê\;ncia
no desenvolvimento de SW? Prototipagem\, testes de hardware\, etc.<
/li>
- Desenvolvimento á\;gil...serve para embarcados?
- Levantamento de requisitos\, modelagem\, arquitetur
a. Tem espaç\;o para isso no dia a dia? Qual a importâ\;ncia de
les?
- Como evitar/reduzir bugs?
- Controle de versã\;o é\; necessá\;rio?
- Controle de qualidade com inspeç\;ã\;o de c&oac
ute\;digo (aná\;lise está\;tica\, code review\, padrã\;o
de codificaç\;ã\;o\, etc)
- Como inser
ir testes num processo de um produto eletrô\;nico embarcado?
li>
 \; Aproveite ess
a oportunidade para participar e interagir com os convidados atravé\
;s da seç\;ã\;o de perguntas e respostas\, ao final do webinar
.  \; Data: \;29/Març\;o Horá\;rio:
19:30 h Inscreva-se gratuitamente em: Metodologias de De
senvolvimento de Software Embarcado
CATEGORIES:Education
UID:20170328T1604240Z-511394-1291@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170420T160000
DTEND;TZID=America/Sao_Paulo:20170420T170000
SUMMARY:Using Data Analysis Techniques to Make Informed Decisions
DESCRIPTION:Data acquisition systems are producing more analog data than ev
er before. This opportunity to uncover new insights comes at the risk of s
pending more time searching for and analyzing multiple data sets. Without
proper data management\, it is easy for important results to go unnoticed\
, causing repeated tests due to lost or undocumented data. In this Webinar
\, learn how proper metadata documentation\, custom triggering\, and imple
menting the right analysis technique help to save only the data you need t
o make informed decisions. For more information and to register\, click he
re
X-ALT-DESC;FMTTYPE=text/html:Data acquisition systems are producing more
analog data than ever before. This opportunity to uncover new insights co
mes at the risk of spending more time searching for and analyzing multiple
data sets. Without proper data management\, it is easy for important resu
lts to go unnoticed\, causing repeated tests due to lost or undocumented d
ata.
In this Webinar\, learn how proper metadata documentatio
n\, custom triggering\, and implementing the right analysis technique help
to save only the data you need to make informed decisions. For mo
re information and to register\, c
lick here
CATEGORIES:Education
UID:20170419T1854530Z-511394-1329@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170420T160000
DTEND;TZID=America/Sao_Paulo:20170420T170000
SUMMARY:Effective and Energy Efficient Enclosure Climate Control Solutions
DESCRIPTION:Creating effective and energy efficient climate control solutio
ns in these applications consists of deftly addressing three key phases—de
sign\, installation and operation. In addition to tips for each phase\, Ri
ttal's Climate Control Product Manager Eric Corzine will discuss the roles
of filter fans\, air conditioners and air-to-water heat exchangers\; comm
on mistakes in installation and operation of climate products\, and the la
test advancements in enclosure cooling technology. What you will learn: •
Tips specific to the design\, installation and operation phases that can h
elp you decide on the right enclosure climate control solution and reduce
energy costs. • The different roles of filter fans\, air conditioners and
air-to-water heat exchangers for climate control. • The latest advancement
s in enclosure cooling technology. • Common mistakes in installation and o
peration of climate products.
X-ALT-DESC;FMTTYPE=text/html:Creating effective and energy effic
ient climate control solutions in these applications consists of deftly ad
dressing three key phases&mdash\;design\, installation and operation. In a
ddition to tips for each phase\, Rittal's Climate Control Product Manager
Eric Corzine will discuss the roles of filter fans\, air conditioners and
air-to-water heat exchangers\; common mistakes in installation and operati
on of climate products\, and the latest advancements in enclosure cooling
technology. What you will learn: &bull\; | Tips specific to the design\, installa
tion and operation phases that can help you decide on the right enclosure
climate control solution and reduce energy costs. | &bull\;<
/strong> | The different roles of filter fans\, air conditioners and air-to-water
heat exchangers for climate control. | &bull\;
strong> | The latest advancements in enclosure cooling technology. | &bull\; | Common mistakes in installation and operation of
climate products. | |  \;
CATEGORIES:Education
UID:20170419T1853030Z-511394-1328@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170309T160000
DTEND;TZID=America/Sao_Paulo:20170309T170000
SUMMARY:Maintenance as a Profit Center: Using Data to Drive Improvements
DESCRIPTION:Increased uptime drives more throughput\, and more throughput c
reates more capacity\, which drives profits. That's a great theory\, but g
etting more uptime is a tough goal to reach. Better use of data in an era
of the Industrial Internet of Things (IIoT) is one clear path to achieve t
his goal. But what data do you need to predict and prevent downtime before
it occurs? Join Plant Engineering to discuss the latest strategies arou
nd the effective use of data to drive prescriptive maintenance. This CEU-a
ccredited Webcast will focus on practical ways data management is being us
ed today to achieve cost savings and improve maintenance operations. Lea
rning Objectives: Understand the importance of maintenance as a profit cen
ter where processes are managed and optimized\, as opposed to a cost cente
r where invoices are paid. Look at the areas where profit can be derived i
n the maintenance process. Understand the difference between predictive\,
preventive and prescriptive maintenance\, and when each strategy should be
used. Look at how new data\, in particular the Industrial Internet of Thi
ngs (IIoT)\, is changing the way maintenance is measured and managed. Feat
ured Speaker: Shon Isenhour\, Principal\, Eruditio\, LLC Moderator: Bob Va
vra\, Content Manager and Moderator\, Plant Engineering CLICK HERE TO REGI
STER NOW
X-ALT-DESC;FMTTYPE=text/html:Increased upt
ime drives more throughput\, and more throughput creates more capacity\, w
hich drives profits. That's a great theory\, but getting more uptime is a
tough goal to reach. Better use of data in an era of the Industrial Intern
et of Things (IIoT) is one clear path to achieve this goal. But what data
do you need to predict and prevent downtime before it occurs?  \; Jo
in Plant Engineering to discuss the latest strategies around the
effective use of data to drive prescriptive maintenance. This CEU-accredit
ed Webcast will focus on practical ways data management is being used toda
y to achieve cost savings and improve maintenance operations.  \; Learning Objectives: - Understand the importance of maintenance as a profit center where p
rocesses are managed and optimized\, as opposed to a cost center where inv
oices are paid.
- Look at the areas where profit can be derived in
the maintenance process.
- Understand the difference between pred
ictive\, preventive and prescriptive maintenance\, and when each strategy
should be used.
- Look at how new data\, in particular the Industr
ial Internet of Things (IIoT)\, is changing the way maintenance is measure
d and managed.
Featu
red Speaker: Shon Isenhour\, Principal\, Eruditio\, LLC Moderator: Bob Vavra\, Conte
nt Manager and Moderator\, Plant Engineering CLICK HERE TO REGISTER NOW
strong>
CATEGORIES:Education
UID:20170221T1809460Z-511394-1226@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161214T140000
DTEND;TZID=America/Sao_Paulo:20161214T150000
SUMMARY:Desenvolvimento de Sistemas de Tempo Real com Linux Embarcado
DESCRIPTION:NOTA: O webinar será apresentado em português. A Internet das C
oisas e o aumento de interfaces multimídia são tendências mundiais que afr
ontam os engenheiros no desenvolvimento de sistemas embarcados. A primeira
\, principalmente\, em funções dos novos requisitos de conectividade e a s
egunda relativo às necessidades de interfaces de usuário ricas e intuitiva
s. Estes novos requisitos são desafiadores\, principalmente para os projet
os de sistemas existentes que ainda utilizam a abordagem de microcontrolad
ores. Os application processors da linha NXP® i.MX são baseados em núcleos
ARM® Cortex-A e permitem a utilização de sistemas operacionais ricos em r
ecursos e de alto nível de abstração\, sendo um subsídio para o desenvolvi
mento rápido e de baixo risco e atendendo as tendências mais modernas. O b
enefício de utilizar um SO de alta abstração proporciona\, por outro lado\
, uma preocupação justificada quanto ao determinismo do controle a ser imp
lementado no sistema. Neste Webinar você conhecerá diferentes abordagens p
ara desenvolvimento de sistemas determinísticos (Sistemas Embarcados de Te
mpo Real) em Application Processors das linhas i.MX6 e i.MX7. Você vai con
hecer: a utilização de Linux Embarcado com o Paths de tempo real\, Real-ti
me Linux a utilização de Linux Embarcado com Xenomai a utilização de proce
ssador multicore heterogêneo (i.MX7) Para cada abordagem\, serão considera
das as vantagens e desvantagens\, custo e desempenho e uma pequena demonst
ração técnica que possibilita verificar a efetiva diferença entre utilizar
o Linux puro e as ferramentas de tempo real. Nota: Recomendamos que todos
os participantes façam perguntas durante a sessão de Q&A que acontecerá n
o final do webinar. Por favor\, Selecione o seu fuso horário Registro
04:00 P.M. - 05:00 P.M. (CET)
X-ALT-DESC;FMTTYPE=text/html: NOTA: O webinar ser&a
acute\; apresentado em portuguê\;s. A Intern
et das Coisas e o aumento de interfaces multimí\;dia sã\;o ten
dê\;ncias mundiais que afrontam os engenheiros no desenvolvimento de
sistemas embarcados. A primeira\, principalmente\, em funç\;õ\
;es dos novos requisitos de conectividade e a segunda relativo à\;s
necessidades de interfaces de usuá\;rio ricas e intuitivas. Estes no
vos requisitos sã\;o desafiadores\, principalmente para os projetos
de sistemas existentes que ainda utilizam a abordagem de microcontroladore
s. Os application processors da linha NXP®\; i.MX sã\;o baseados em nú\;cleos ARM®\; Cortex-A e permitem a utiliza&
ccedil\;ã\;o de sistemas operacionais ricos em recursos e de alto n&
iacute\;vel de abstraç\;ã\;o\, sendo um subsí\;dio para
o desenvolvimento rá\;pido e de baixo risco e atendendo as tend&ecir
c\;ncias mais modernas. O benefí\;cio de utilizar um SO de alta abst
raç\;ã\;o proporciona\, por outro lado\, uma preocupaç\;
ã\;o justificada quanto ao determinismo do controle a ser implementa
do no sistema. Neste Webinar você\; conhecerá\;
diferentes abordagens para desenvolvimento de sistemas determiní\;s
ticos (Sistemas Embarcados de Tempo Real) em Application Processors das li
nhas i.MX6 e i.MX7. Você\; vai conhecer: - a utiliza&cce
dil\;ã\;o de Linux Embarcado com o Paths de tempo real\, Real-time L
inux
- a utilizaç\;ã\;o de Linux Embarcado com Xenomai
- a utilizaç\;ã\;o de processador multicore heterog&e
circ\;neo (i.MX7)
Para cada abordagem\, serã\;o cons
ideradas as vantagens e desvantagens\, custo e desempenho e uma pequena de
monstraç\;ã\;o té\;cnica que possibilita verificar a efe
tiva diferenç\;a entre utilizar o Linux puro e as ferramentas de tem
po real. Nota: Recomendamos que todos os particip
antes faç\;am perguntas durante a sessã\;o de Q&\;A que aco
ntecerá\; no final do webinar. Por favor\, Selecione o seu fuso horá\;rio
 \;  \; Registro 04:00 P.M. - 05:00 P.M. (CET)  \;
CATEGORIES:Education
UID:20161209T1134430Z-511394-1158@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161215T143000
DTEND;TZID=America/Sao_Paulo:20161215T153000
SUMMARY: eGovernment Documents with Financial Inclusion
DESCRIPTION:A growing trend in eGovernment is convergence of applications\,
e.g. enabling government\, payment\, and transport applications on a sing
le smartcard to access multiple government and private sector services. Co
nvergence is a cost-effective way to make multiple services accessible to
the majority of citizens and can effectively be used to promote financial
inclusion. Learn more about how electronic IDs increase security\, elimina
te waste\, fraud\, and abuse and how it can be used to even to bank the un
banked. . Featured Speakers: . Anand Menon\, Vice President of New Consume
rs\, MasterCard . Rolan Jahn\, Senior Global Marketing Manager secID\, NXP
REGISTER
X-ALT-DESC;FMTTYPE=text/html:A gro
wing trend in eGovernment is convergence of applications\, e.g. enabling g
overnment\, payment\, and transport applications on a single smartcard to
access multiple government and private sector services. Convergence is a c
ost-effective way to make multiple services accessible to the majority of
citizens and can effectively be used to promote financial inclusion.
Learn more about how electronic I
Ds increase security\, eliminate waste\, fraud\, and abuse and how it can
be used to even to bank the unbanked. . Featured Speakers: . Anand Menon\, Vice President of New Consumers\, MasterCard<
/p> . Rolan Jahn\, Senior Globa
l Marketing Manager secID\, NXP  \; REGISTER
CATEGORIES:General
UID:20161209T1152410Z-511394-1161@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20000127T160000
DTEND;TZID=America/Sao_Paulo:20000127T170000
SUMMARY:Sensor Edge Processing for the IoT - Day 1
DESCRIPTION:While the cloud is a powerful tool for analytics of the massive
amount of data generated by the Internet of Things (IoT)\, it also introd
uces long delays which are antithetical to the needs of the IoT. Some of t
he analytics require the whole set of measurements being taken. Others are
time sensitive and do not require all the data. This leads to the idea of
processing some of the data locally and aggregating all the data in the c
loud for overall analysis. The tradeoff we are dealing with is between lat
ency and data volume. The local processing is often called edge processing
. In this course we will look at the overall architecture and some of the
details of edge processing for the IoT. February 27 – Day 1: Architecture
We begin by looking at architectural frameworks for the IoT. We begin with
conceptual frameworks and move on to implementation frameworks. We will a
lso discuss some research efforts in this area.
X-ALT-DESC;FMTTYPE=text/html:While the clo
ud is a powerful tool for analytics of the massive amount of data generate
d by the Internet of Things (IoT)\, it also introduces long delays which a
re antithetical to the needs of the IoT. Some of the analytics require the
whole set of measurements being taken. Others are time sensitive and do n
ot require all the data. This leads to the idea of processing some of the
data locally and aggregating all the data in the cloud for overall analysi
s. The tradeoff we are dealing with is between latency and data volume. Th
e local processing is often called edge processing.
In this cou
rse we will look at the overall architecture and some of the details of ed
ge processing for the IoT. -
We beg
in by looking at architectural frameworks for the IoT. We begin with conce
ptual frameworks and move on to implementation frameworks. We will also di
scuss some research efforts in this area.
ul>
CATEGORIES:Education
UID:20170221T1816430Z-511394-1228@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20000127T160000
DTEND;TZID=America/Sao_Paulo:20000127T170000
SUMMARY:Sensor Edge Processing for the IoT - Day 1
DESCRIPTION:While the cloud is a powerful tool for analytics of the massive
amount of data generated by the Internet of Things (IoT)\, it also introd
uces long delays which are antithetical to the needs of the IoT. Some of t
he analytics require the whole set of measurements being taken. Others are
time sensitive and do not require all the data. This leads to the idea of
processing some of the data locally and aggregating all the data in the c
loud for overall analysis. The tradeoff we are dealing with is between lat
ency and data volume. The local processing is often called edge processing
. In this course we will look at the overall architecture and some of the
details of edge processing for the IoT. February 27 – Day 1: Architecture
We begin by looking at architectural frameworks for the IoT. We begin with
conceptual frameworks and move on to implementation frameworks. We will a
lso discuss some research efforts in this area.
X-ALT-DESC;FMTTYPE=text/html:While the clo
ud is a powerful tool for analytics of the massive amount of data generate
d by the Internet of Things (IoT)\, it also introduces long delays which a
re antithetical to the needs of the IoT. Some of the analytics require the
whole set of measurements being taken. Others are time sensitive and do n
ot require all the data. This leads to the idea of processing some of the
data locally and aggregating all the data in the cloud for overall analysi
s. The tradeoff we are dealing with is between latency and data volume. Th
e local processing is often called edge processing.
In this cou
rse we will look at the overall architecture and some of the details of ed
ge processing for the IoT. -
We beg
in by looking at architectural frameworks for the IoT. We begin with conce
ptual frameworks and move on to implementation frameworks. We will also di
scuss some research efforts in this area.
ul>
CATEGORIES:Education
UID:20170221T1817180Z-511394-1229@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170606T160000
DTEND;TZID=America/Sao_Paulo:20170606T170000
SUMMARY:Driving Innovation and Adoption - 3D Printing in The Medical Device
Industry
DESCRIPTION:Surrounded by health care challenges like rising costs\, an agi
ng population and payer focused outcomes\, 3D printing can play an integra
l role for medical device companies seeking an edge in innovation\, physic
ian education and training\, manufacturing and sales. What You'll Learn: T
he benefits of 3D printed anatomical models for training over traditional
models. Produce customized tools and devices to fit specific lab and manuf
acturing needs at lower costs. Minimize surgical time by using 3D printed
models for each patient's need. Register Now
X-ALT-DESC;FMTTYPE=text/html:Surr
ounded by health care challenges like rising costs\, an aging population a
nd payer focused outcomes\, 3D printing can play an integral role for medi
cal device companies seeking an edge in innovation\, physician education a
nd training\, manufacturing and sales. What You'll Learn:
- The benefits of 3D printed anatomical models for training over
traditional models.
- Produce customized tools and devices to fit
specific lab and manufacturing needs at lower costs.
- Minimize su
rgical time by using 3D printed models for each patient's need.
 \;  \;  \;
CATEGORIES:Education
UID:20170513T2011150Z-511394-1385@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170518T160000
DTEND;TZID=America/Sao_Paulo:20170518T170000
SUMMARY:Solving design challenges with the all-in-one Time-of-Flight rangin
g sensor
DESCRIPTION:Understand the benefits of using the FlightSense™ family in app
lications where accurate ranging\, independent of target reflectance\, is
required Attend a 1-hour webinar and learn how the Time-of-Flight sensor w
orks using the VL53L0X ranging sensor and the VL6180X proximity sensor\, g
esture\, and ambient light sensing (ALS) module\, enabling quick design-in
s while ensuring the best solution for your design functions. The all-in-o
ne ST FlightSense™ product family senses and provides accurate ranging inf
ormation\, independent of the target’s color and texture. It is ideal for
the consumer and industrial markets to measure proximity\, presence\, rang
ing\, depth\, and object detect and count. Join us Thursday\, May 18th at
12 noon CDT Register now! You will learn: How the FlightSenseTM principle
works (laser and SPAD technologies) and its advantages over conventional I
R sensors How to set crosstalk compensation and calibrate for the most acc
urate measurements How to implement major use-case scenarios Key performan
ce parameters: Distance\, accuracy\, ranging rate\, and power consumption
How to use the evaluation kit and supporting GUI Application engineers wil
l be available to answer your questions directly online.
X-ALT-DESC;FMTTYPE=text/html:Under
stand the benefits of using the FlightSense&trade\; family in applications
where accurate ranging\, independent of target reflectance\, is required<
/strong> Attend a 1-hour webinar and learn how the Time-of-Flight
sensor works using the VL53L0X ranging sensor and the VL6180X proximity s
ensor\, gesture\, and ambient light sensing (ALS) module\, enabling quick
design-ins while ensuring the best solution for your design functions.
The all-in-one ST FlightSense&trade\; product family senses and provi
des accurate ranging information\, independent of the target&rsquo\;s colo
r and texture. It is ideal for the consumer and industrial markets to meas
ure proximity\, presence\, ranging\, depth\, and object detect and count.<
/p> Join us Thursday\, May 18th
at 12 noon CDT You will learn: - H
ow the FlightSenseTM principle works (laser and SPAD technologi
es) and its advantages over conventional IR sensors
- How to set crosstalk compensation and calibrate for the most accurate
measurements
- How to implement major use-case s
cenarios
- Key performance parameters: Distance\
, accuracy\, ranging rate\, and power consumption
- How to use the evaluation kit and supporting GUI
Application engineers will be available to answer your question
s directly online.
CATEGORIES:Education
UID:20170513T2000070Z-511394-1382@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170601T140000
DTEND;TZID=America/Sao_Paulo:20170601T150000
SUMMARY:Stopping Attacks: Security for Next Generation IoT Products and App
lications
DESCRIPTION:Overview: This Webcast covers the critical and timely topic o
f security in a world where everything is increasingly connected and syste
ms are vulnerable to attack. Enterprises that are building next generatio
n IoT products and applications need a platform (hardware\, software\, and
cloud) with built-in security to protect against attack. Attendees will l
earn: Why should we worry about IoT Security? Where are we getting attacke
d and how are we being attacked? How do you protect against attacks? » Reg
ister Today
X-ALT-DESC;FMTTYPE=text/html:Overview:  \; | This Webcast covers the cri
tical and timely topic of security in a world where everything is increasi
ngly connected and systems are vulnerable to attack.  \;Enterprises th
at are building next generation IoT products and applications need a platf
orm (hardware\, software\, and cloud) with built-in security to protect ag
ainst attack. Attendees will learn: - Why should we
worry about IoT Security?
- Where are we getting attacked and how
are we being attacked?
- How do you protect against attacks?
| »\; Register Today |
 \;
CATEGORIES:Education
UID:20170513T2007400Z-511394-1384@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170524T180000
DTEND;TZID=America/Sao_Paulo:20170524T190000
SUMMARY:Building the Best In-Vehicle Cyber Defense: Network Design\, Testin
g Labs\, and Research Classification
DESCRIPTION:It starts with the network. Chris Lupini\, Cybersecurity Chief
Engineer at Delphi\, discusses the latest trends in designing electrical a
rchitecture purpose-built for cybersecurity. Topics addressed include secu
re ECU consolidation\, merging wired and wireless interfaces\, maximizing
power and data flow\, and the critical need for OEMs to come together on s
tandards for V2V communications. It becomes trusted through testing. Just
in Montalbano\, Cybersecurity Engineer at Delphi\, shares the good and the
bad about building your own cybersecurity testing lab\, including various
development processes\, the cost of tools used for hacking\, how to find
the people you need\, facility requirements\, the importance of third-part
y contracts\, and our collective role in shaping cross-industry standards
so we know when good enough is good enough. It stays ahead of the hackers
through research. Dan Klinedinst\, Technical Steering Committee member of
the Future of Automotive Security Technology Research (FASTR) consortium\
, reveals insights from a comprehensive review of classifying the full spe
ctrum of research and coverage in the automotive cybersecurity field\, inc
luding vulnerabilities\, defense\, and prevention. Learn where this work w
ith Drexel University will go next. https://www.automotive-iq.com/electr
ics-electronics/webinars/building-best-vehicle-cyber-defense-network-desig
n-testing-labs-and?utm_campaign=SafetySecurity_Email1&utm_medium=email&utm
_source=internalemail&MAC=AUIQ1-DO5NSE9engenheiro.puhlmann%40gmail.com&elq
ContactId=120914&disc=#restriction_area
X-ALT-DESC;FMTTYPE=text/html:It st
arts with the network. Chris Lupini\, Cybersecurity Chief Enginee
r at Delphi\, discusses the latest trends in designing electrical architec
ture purpose-built for cybersecurity. Topics addressed include secure ECU
consolidation\, merging wired and wireless interfaces\, maximizing power a
nd data flow\, and the critical need for OEMs to come together on standard
s for V2V communications. \; It becomes trusted through testing. Justin Montalbano\, Cybe
rsecurity Engineer at Delphi\, shares the good and the bad about building
your own cybersecurity testing lab\, including various development process
es\, the cost of tools used for hacking\, how to find the people you need\
, facility requirements\, the importance of third-party contracts\, and ou
r collective role in shaping cross-industry standards so we know when good
enough is good enough. \; It stays ahead of the hackers through research. Dan Klinedinst
\, Technical Steering Committee member of the Future of Automotive Securit
y Technology Research (FASTR) consortium\, reveals insights from a compreh
ensive review of classifying the full spectrum of research and coverage in
the automotive cybersecurity field\, including vulnerabilities\, defense\
, and prevention. Learn where this work with Drexel University will go nex
t.  \; https://www.automotive-iq.com/electrics-electronics/webinars/
building-best-vehicle-cyber-defense-network-design-testing-labs-and?utm_ca
mpaign=SafetySecurity_Email1&\;utm_medium=email&\;utm_source=interna
lemail&\;MAC=AUIQ1-DO5NSE9engenheiro.puhlmann%40gmail.com&\;elqConta
ctId=120914&\;disc=#restriction_area
CATEGORIES:General
UID:20170513T2126040Z-511394-1387@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170606T160000
DTEND;TZID=America/Sao_Paulo:20170606T170000
SUMMARY:Connectivity Solutions - Keeping Pace with the Rapid Evolution of E
mbedded Computing Systems
DESCRIPTION:Embedded Computing is seeing a rapid evolution in system design
. New defense platforms and system upgrades are widely adopting open archi
tecture standards like VPX in place of 20+ year old technologies. Defense-
grade processors are now achieving 28Gbps data rates with significant I/O
count\, which drives increased functionality and enables computing hardwar
e to shrink in size and weight. Interconnect remains a critical link in ad
vanced computing systems and TE offers a rich portfolio of connectivity so
lutions for VPX-based systems\, with development focused on the next gener
ation systems with significant advances in data rates and density. In this
Webinar\, we will outline the connectivity solutions for VPX embedded com
puting systems\, describe interconnect challenges and trends\, and describ
e a roadmap for the future. For more information and to register\, click
here.
X-ALT-DESC;FMTTYPE=text/html:Embedded Computing is seeing a rapid evolut
ion in system design. New defense platforms and system upgrades are widely
adopting open architecture standards like VPX in place of 20+ year old te
chnologies. Defense-grade processors are now achieving 28Gbps data rates w
ith significant I/O count\, which drives increased functionality and enabl
es computing hardware to shrink in size and weight.
Interconn
ect remains a critical link in advanced computing systems and TE offers a
rich portfolio of connectivity solutions for VPX-based systems\, with deve
lopment focused on the next generation systems with significant advances i
n data rates and density.
In this Webinar\, we will outline t
he connectivity solutions for VPX embedded computing systems\, describe in
terconnect challenges and trends\, and describe a roadmap for the future.<
/p>  \; For more information and to register\, click here
a>.
CATEGORIES:Education
UID:20170513T2118110Z-511394-1386@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170228T160000
DTEND;TZID=America/Sao_Paulo:20170228T170000
SUMMARY:Sensor Edge Processing for the IoT - Day 2
DESCRIPTION:While the cloud is a powerful tool for analytics of the massive
amount of data generated by the Internet of Things (IoT)\, it also introd
uces long delays which are antithetical to the needs of the IoT. Some of t
he analytics require the whole set of measurements being taken. Others are
time sensitive and do not require all the data. This leads to the idea of
processing some of the data locally and aggregating all the data in the c
loud for overall analysis. The tradeoff we are dealing with is between lat
ency and data volume. The local processing is often called edge processing
. In this course we will look at the overall architecture and some of the
details of edge processing for the IoT. February 28 – Day 2: Data Consider
ations The types of data generated are as diverse as the things in the Int
ernet of Things. The generation and handling of this data will be discusse
d. This includes all levels of the IoT architecture.
X-ALT-DESC;FMTTYPE=text/html:While the clo
ud is a powerful tool for analytics of the massive amount of data generate
d by the Internet of Things (IoT)\, it also introduces long delays which a
re antithetical to the needs of the IoT. Some of the analytics require the
whole set of measurements being taken. Others are time sensitive and do n
ot require all the data. This leads to the idea of processing some of the
data locally and aggregating all the data in the cloud for overall analysi
s. The tradeoff we are dealing with is between latency and data volume. Th
e local processing is often called edge processing.
In this cou
rse we will look at the overall architecture and some of the details of ed
ge processing for the IoT.
CATEGORIES:Education
UID:20170221T1819470Z-511394-1231@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170301T160000
DTEND;TZID=America/Sao_Paulo:20170301T170000
SUMMARY:Sensor Edge Processing for the IoT - Day 3
DESCRIPTION:While the cloud is a powerful tool for analytics of the massive
amount of data generated by the Internet of Things (IoT)\, it also introd
uces long delays which are antithetical to the needs of the IoT. Some of t
he analytics require the whole set of measurements being taken. Others are
time sensitive and do not require all the data. This leads to the idea of
processing some of the data locally and aggregating all the data in the c
loud for overall analysis. The tradeoff we are dealing with is between lat
ency and data volume. The local processing is often called edge processing
. In this course we will look at the overall architecture and some of the
details of edge processing for the IoT. March 1 – Day 3: Devices - Sensor
Level Sensors are another area of great diversity. Some are very simple an
d have limited processing power\, while others can be very powerful. We wi
ll look at some of the technologies utilized and how they can be utilized
to perform edge processing at the sensor.
X-ALT-DESC;FMTTYPE=text/html:While the clo
ud is a powerful tool for analytics of the massive amount of data generate
d by the Internet of Things (IoT)\, it also introduces long delays which a
re antithetical to the needs of the IoT. Some of the analytics require the
whole set of measurements being taken. Others are time sensitive and do n
ot require all the data. This leads to the idea of processing some of the
data locally and aggregating all the data in the cloud for overall analysi
s. The tradeoff we are dealing with is between latency and data volume. Th
e local processing is often called edge processing.
In this cou
rse we will look at the overall architecture and some of the details of ed
ge processing for the IoT.
CATEGORIES:Education
UID:20170221T1820450Z-511394-1232@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170227T160000
DTEND;TZID=America/Sao_Paulo:20170227T170000
SUMMARY:Sensor Edge Processing for the IoT - Day 1
DESCRIPTION:While the cloud is a powerful tool for analytics of the massive
amount of data generated by the Internet of Things (IoT)\, it also introd
uces long delays which are antithetical to the needs of the IoT. Some of t
he analytics require the whole set of measurements being taken. Others are
time sensitive and do not require all the data. This leads to the idea of
processing some of the data locally and aggregating all the data in the c
loud for overall analysis. The tradeoff we are dealing with is between lat
ency and data volume. The local processing is often called edge processing
. In this course we will look at the overall architecture and some of the
details of edge processing for the IoT. February 27 – Day 1: Architecture
We begin by looking at architectural frameworks for the IoT. We begin with
conceptual frameworks and move on to implementation frameworks. We will a
lso discuss some research efforts in this area.
X-ALT-DESC;FMTTYPE=text/html:While the clo
ud is a powerful tool for analytics of the massive amount of data generate
d by the Internet of Things (IoT)\, it also introduces long delays which a
re antithetical to the needs of the IoT. Some of the analytics require the
whole set of measurements being taken. Others are time sensitive and do n
ot require all the data. This leads to the idea of processing some of the
data locally and aggregating all the data in the cloud for overall analysi
s. The tradeoff we are dealing with is between latency and data volume. Th
e local processing is often called edge processing.
In this cou
rse we will look at the overall architecture and some of the details of ed
ge processing for the IoT. -
We beg
in by looking at architectural frameworks for the IoT. We begin with conce
ptual frameworks and move on to implementation frameworks. We will also di
scuss some research efforts in this area.
ul>
CATEGORIES:Education
UID:20170221T1818590Z-511394-1230@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170302T160000
DTEND;TZID=America/Sao_Paulo:20170302T170000
SUMMARY:Sensor Edge Processing for the IoT - Day 4
DESCRIPTION:While the cloud is a powerful tool for analytics of the massive
amount of data generated by the Internet of Things (IoT)\, it also introd
uces long delays which are antithetical to the needs of the IoT. Some of t
he analytics require the whole set of measurements being taken. Others are
time sensitive and do not require all the data. This leads to the idea of
processing some of the data locally and aggregating all the data in the c
loud for overall analysis. The tradeoff we are dealing with is between lat
ency and data volume. The local processing is often called edge processing
. In this course we will look at the overall architecture and some of the
details of edge processing for the IoT. March 2 – Day 4: Devices - Aggrega
tion/Communication Level While some sensors and devices can communicate in
dependently on the Internet\, many cannot. Thus\, most architectures assu
me aggregating nodes that handle communications back to the central reposi
tory (e.g.\, cloud). In these types of nodes there is a consequently more
processing power available and more complex analytics can be performed at
this level. In addition\, these nodes can do aggregation on a local leve
l\, which is often the correct level at which to perform analysis. We wil
l look at devices and processing examples at this level.
X-ALT-DESC;FMTTYPE=text/html:While the clo
ud is a powerful tool for analytics of the massive amount of data generate
d by the Internet of Things (IoT)\, it also introduces long delays which a
re antithetical to the needs of the IoT. Some of the analytics require the
whole set of measurements being taken. Others are time sensitive and do n
ot require all the data. This leads to the idea of processing some of the
data locally and aggregating all the data in the cloud for overall analysi
s. The tradeoff we are dealing with is between latency and data volume. Th
e local processing is often called edge processing.
In this cou
rse we will look at the overall architecture and some of the details of ed
ge processing for the IoT. -
While some sensors and devices can communicate independently on
the Internet\, many cannot. \; Thus\, most architectures assume aggre
gating nodes that handle communications back to the central repository (e.
g.\, cloud). \; In these types of nodes there is a consequently more p
rocessing power available and more complex analytics can be performed at t
his level. \; In addition\, these nodes can do aggregation on a local
level\, which is often the correct level at which to perform analysis.&nbs
p\; We will look at devices and processing examples at this level.
CATEGORIES:Education
UID:20170221T1822100Z-511394-1233@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170510T140000
DTEND;TZID=America/Sao_Paulo:20170510T150000
SUMMARY:Simplify Cloud Connectivity with the STM32L4 Discovery kit IoT node
DESCRIPTION:Explore your own innovative IoT ideas with the ‘out-of-the-box’
ready cloud connectivity board This 1-hour webinar will demonstrate how t
he STM32L4 IoT Discovery Kit makes it simple to connect with AWS Cloud Ser
vices and enables you to explore your own innovative IoT ideas! The IoT Di
scovery Kit features an STM32L4 ultra-low-power Cortex® -M4 MCU\, wireless
connectivity and an array of sensors to showcase cloud connectivity featu
res. Join us Wednesday\, May 10th at 12 noon CDT You will learn: About the
STM32L4 IoT Discovery Kit\, an ‘out-of-the-box’ ready cloud connectivity
Board for IoT nodes featuring Ultra-low-power STM32L4 Cortex® -M4 MCU Broa
d range of on board connectivity including Bluetooth Smart\, Wi-Fi\, Sub-G
Hz and NFC Full range of motion\, environmental and proximity sensors How
to get started with the STM32 IoT Discovery Kit Utilize Bluetooth Smart pa
iring for data monitoring and control Configure and interact with AWS Clou
d Services Meet our technical team to answer your product- and application
-related questions directly online. There is no charge to participate in t
his event\, but you must register through my.st.com. Click HERE TO REGISTE
R for our webinar NOW.
X-ALT-DESC;FMTTYPE=text/html: Explore
your own innovative IoT ideas with the &lsquo\;out-of-the-box&rsquo\; read
y cloud connectivity board This 1-hour webinar will demo
nstrate how the STM32L4 IoT Discovery Kit makes it simple to connect with
AWS Cloud Services and enables you to explore your own innovative IoT idea
s! The IoT Discovery Kit features an STM32L4 ultra-low-power Corte
x®\; -M4 MCU\, wireless connectivity and an array of sensors to showcas
e cloud connectivity features. You
will learn: - About the STM32L4 IoT Discovery Kit\,
an &lsquo\;out-of-the-box&rsquo\; ready cloud connectivity Board for IoT
nodes featuring
- Ultra-low-power STM32L4 Cortex®\; -M4 MCU
- Broad range of on board connectivity including Bluetooth Smart\, W
i-Fi\, Sub-GHz and NFC
- Full range of motion\, environmental and
proximity sensors
- How to get started with the STM3
2 IoT Discovery Kit
- Utilize Bluetooth Smart pairing for data mo
nitoring and control
- Configure and interact with AWS Cloud Servi
ces
-
Meet our technical team to answer your product- and application-relate
d questions directly online. There is no charge to participate in
this event\, but you must register through my.st.com. Click HERE
TO REGISTER for our webinar NOW.  
\;
CATEGORIES:Education
UID:20170505T1607260Z-511394-1360@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170525T120000
DTEND;TZID=America/Sao_Paulo:20170525T130000
SUMMARY:What can you accomplish with CAN-FD? - Part I - An Intro to CAN-FD
DESCRIPTION: TWO-PART WEBINAR SERIES | 60 MINUTES EACH Based on the high-p
erformance ARM® Cortex®-M4 core\, the LPC54000 series of single-core and d
ual-core MCUs represents the next-generation of industry-leading power eff
iciency. With added scalability and feature integration\, these breakthrou
gh devices enable continued market growth in today's competitive environme
nt. Join CAN bus experts from Embedded Systems Academy in this webinar ser
ies who will cover: The improvements and benefits of CAN-FD over classic C
AN Requirements for an implementation of secure and non-secure bootloaders
in CAN and CAN-FD systems How to leverage this technology on LPC54000 MCU
s Part I: An intro to CAN-FD REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; TWO-PART WEBINAR SERIES
| 60 MINUTES EACH Based on
the high-performance ARM®\; Cortex®\;-M4 core\, the LPC54000 series
of single-core and dual-core MCUs represents the next-generation of indust
ry-leading power efficiency. With added scalability and feature integratio
n\, these breakthrough devices enable continued market growth in today's c
ompetitive environment. Join CAN bu
s experts from Embedded Systems Academy in this webinar series who will co
ver: - The improvements and benefits of CAN-FD over classic CA
N
- Requirements for an implementation of secure and non-secure boot
loaders in CAN and CAN-FD systems
- How to leverage this technology
on LPC54000 MCUs
Par
t I: An intro to CAN-FD REGISTER
CATEGORIES:Education
UID:20170505T1603510Z-511394-1358@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170512T160000
DTEND;TZID=America/Sao_Paulo:20170512T170000
SUMMARY:Deep Learning in Industrial Systems - Day 5
DESCRIPTION:Deep learning generally refers to a set of technologies\, prima
rily Artificial Neural Networks (ANN)\, that are capable of processing uns
tructured\, as well as structured\, data. Deep learning is especially impo
rtant in computer vision applications as well as audio and other types of
complex signals. While there is no standard definition for Deep Learning t
here are recognized approaches. There is also\, as with many interdiscipli
nary fields\, a good amount of controversy\, such as that between Deep Lea
rning\, Machine Learning and AI. In this course we will look at the techno
logies that make up Deep Learning concentrating on Industrial Applications
. As this is a dynamic field\, we will conclude with future trends. May 12
– Day 5: Future Trends in Deep Learning Finally\, we look at what the fut
ure of Deep Learning in Industrial Systems looks like in the near term. T
his is a fast moving technology area and predicting out much further would
be difficult at best. We look at trends in hardware\, software\, algorit
hms and applications that can be expected in the next few years.
X-ALT-DESC;FMTTYPE=text/html:Deep learning
generally refers to a set of technologies\, primarily Artificial Neural N
etworks (ANN)\, that are capable of processing unstructured\, as well as s
tructured\, data. Deep learning is especially important in computer vision
applications as well as audio and other types of complex signals. While t
here is no standard definition for Deep Learning there are recognized appr
oaches. There is also\, as with many interdisciplinary fields\, a good amo
unt of controversy\, such as that between Deep Learning\, Machine Learning
and AI.
In this course we will look at the technologies that m
ake up Deep Learning concentrating on Industrial Applications. As this is
a dynamic field\, we will conclude with future trends. - Fin
ally\, we look at what the future of Deep Learning in Industrial Systems l
ooks like in the near term. \; This is a fast moving technology area a
nd predicting out much further would be difficult at best. \; We look
at trends in hardware\, software\, algorithms and applications that can be
expected in the next few years.
CATEGORIES:Education
UID:20170505T1617550Z-511394-1366@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170511T160000
DTEND;TZID=America/Sao_Paulo:20170511T170000
SUMMARY:Deep Learning in Industrial Systems - Day 4
DESCRIPTION:Deep learning generally refers to a set of technologies\, prima
rily Artificial Neural Networks (ANN)\, that are capable of processing uns
tructured\, as well as structured\, data. Deep learning is especially impo
rtant in computer vision applications as well as audio and other types of
complex signals. While there is no standard definition for Deep Learning t
here are recognized approaches. There is also\, as with many interdiscipli
nary fields\, a good amount of controversy\, such as that between Deep Lea
rning\, Machine Learning and AI. In this course we will look at the techno
logies that make up Deep Learning concentrating on Industrial Applications
. As this is a dynamic field\, we will conclude with future trends. May 11
– Day 4: Robotics Applications The hot application these days is robotics
\, especially robot vision. Automation in factories is increasing due to
increased competition. Even in Asian economies with relatively lower cost
labor automation is making inroads. Not all robots have vision capabilit
ies\, but this is also becoming more common. We will look at Deep Learnin
g systems in robot vision as well as coordination and decision systems in
robotics. Such applications promise to increase the effectiveness of robo
ts in the factory. Processing at the edge is a big part of making this po
ssible.
X-ALT-DESC;FMTTYPE=text/html:Deep learning
generally refers to a set of technologies\, primarily Artificial Neural N
etworks (ANN)\, that are capable of processing unstructured\, as well as s
tructured\, data. Deep learning is especially important in computer vision
applications as well as audio and other types of complex signals. While t
here is no standard definition for Deep Learning there are recognized appr
oaches. There is also\, as with many interdisciplinary fields\, a good amo
unt of controversy\, such as that between Deep Learning\, Machine Learning
and AI.
In this course we will look at the technologies that m
ake up Deep Learning concentrating on Industrial Applications. As this is
a dynamic field\, we will conclude with future trends. - The hot application these days is robotics\, especially robot vision.&nbs
p\; Automation in factories is increasing due to increased competition.&nb
sp\; Even in Asian economies with relatively lower cost labor automation i
s making inroads. \; Not all robots have vision capabilities\, but thi
s is also becoming more common. \; We will look at Deep Learning syste
ms in robot vision as well as coordination and decision systems in robotic
s. \; Such applications promise to increase the effectiveness of robot
s in the factory. \; Processing at the edge is a big part of making th
is possible.
CATEGORIES:Education
UID:20170505T1616250Z-511394-1365@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170508T160000
DTEND;TZID=America/Sao_Paulo:20170508T170000
SUMMARY:Deep Learning in Industrial Systems - Day 1
DESCRIPTION:Deep learning generally refers to a set of technologies\, prima
rily Artificial Neural Networks (ANN)\, that are capable of processing uns
tructured\, as well as structured\, data. Deep learning is especially impo
rtant in computer vision applications as well as audio and other types of
complex signals. While there is no standard definition for Deep Learning t
here are recognized approaches. There is also\, as with many interdiscipli
nary fields\, a good amount of controversy\, such as that between Deep Lea
rning\, Machine Learning and AI. In this course we will look at the techno
logies that make up Deep Learning concentrating on Industrial Applications
. As this is a dynamic field\, we will conclude with future trends. May 8
– Day 1: An Overview of Deep Learning Technologies and Applications While
Neural Networks are the main technology we will look at the whole range of
technologies that are connected with Deep Learning and the relationship t
o Machine Learning (ML) and Artificial Intelligence (AI). We will also loo
k at applications\, including some not part of Industrial Systems to give
context to what we are discussing.
X-ALT-DESC;FMTTYPE=text/html:Deep learning
generally refers to a set of technologies\, primarily Artificial Neural N
etworks (ANN)\, that are capable of processing unstructured\, as well as s
tructured\, data. Deep learning is especially important in computer vision
applications as well as audio and other types of complex signals. While t
here is no standard definition for Deep Learning there are recognized appr
oaches. There is also\, as with many interdisciplinary fields\, a good amo
unt of controversy\, such as that between Deep Learning\, Machine Learning
and AI.
In this course we will look at the technologies that m
ake up Deep Learning concentrating on Industrial Applications. As this is
a dynamic field\, we will conclude with future trends. - While Neural Networks are the main technology we wi
ll look at the whole range of technologies that are connected with Deep Le
arning and the relationship to Machine Learning (ML) and Artificial Intell
igence (AI). We will also look at applications\, including some not part o
f Industrial Systems to \;give context to what we are discussing.
CATEGORIES:Education
UID:20170505T1613180Z-511394-1362@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170510T160000
DTEND;TZID=America/Sao_Paulo:20170510T170000
SUMMARY:Deep Learning in Industrial Systems - Day 3
DESCRIPTION:Deep learning generally refers to a set of technologies\, prima
rily Artificial Neural Networks (ANN)\, that are capable of processing uns
tructured\, as well as structured\, data. Deep learning is especially impo
rtant in computer vision applications as well as audio and other types of
complex signals. While there is no standard definition for Deep Learning t
here are recognized approaches. There is also\, as with many interdiscipli
nary fields\, a good amount of controversy\, such as that between Deep Lea
rning\, Machine Learning and AI. In this course we will look at the techno
logies that make up Deep Learning concentrating on Industrial Applications
. As this is a dynamic field\, we will conclude with future trends. May 10
– Day 3: Inspection and Anomaly Detection Applications of Deep Learning i
n Industrial systems of vision systems include Inspection and anomaly dete
ction in finished goods and machinery. We will look these applications\,
including how they work and how they are applied in an industrial systems.
Automated inspection and anomaly detection increase quality and safety i
n industrial
X-ALT-DESC;FMTTYPE=text/html:Deep learning
generally refers to a set of technologies\, primarily Artificial Neural N
etworks (ANN)\, that are capable of processing unstructured\, as well as s
tructured\, data. Deep learning is especially important in computer vision
applications as well as audio and other types of complex signals. While t
here is no standard definition for Deep Learning there are recognized appr
oaches. There is also\, as with many interdisciplinary fields\, a good amo
unt of controversy\, such as that between Deep Learning\, Machine Learning
and AI.
In this course we will look at the technologies that m
ake up Deep Learning concentrating on Industrial Applications. As this is
a dynamic field\, we will conclude with future trends. - Applications of Deep Learning in Industrial systems
of vision systems include Inspection and anomaly detection in finished go
ods and machinery. \; We will look these applications\, including how
they work and how they are applied in an industrial systems. \; Automa
ted inspection and anomaly detection increase quality and safety in indust
rial
CATEGORIES:Education
UID:20170505T1615300Z-511394-1364@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170509T160000
DTEND;TZID=America/Sao_Paulo:20170509T170000
SUMMARY:Deep Learning in Industrial Systems - Day 2
DESCRIPTION:Deep learning generally refers to a set of technologies\, prima
rily Artificial Neural Networks (ANN)\, that are capable of processing uns
tructured\, as well as structured\, data. Deep learning is especially impo
rtant in computer vision applications as well as audio and other types of
complex signals. While there is no standard definition for Deep Learning t
here are recognized approaches. There is also\, as with many interdiscipli
nary fields\, a good amount of controversy\, such as that between Deep Lea
rning\, Machine Learning and AI. In this course we will look at the techno
logies that make up Deep Learning concentrating on Industrial Applications
. As this is a dynamic field\, we will conclude with future trends. May 9
– Day 2: Neural Networks This is the core technology of Deep Learning toda
y. In this class we will review the technology and its history. Then we wi
ll look at implementations and some of the latest trends in Neural Network
s. New software and hardware technologies are being applied\, but have ant
ecedents in previous efforts going back to the 1980s
X-ALT-DESC;FMTTYPE=text/html:Deep learning
generally refers to a set of technologies\, primarily Artificial Neural N
etworks (ANN)\, that are capable of processing unstructured\, as well as s
tructured\, data. Deep learning is especially important in computer vision
applications as well as audio and other types of complex signals. While t
here is no standard definition for Deep Learning there are recognized appr
oaches. There is also\, as with many interdisciplinary fields\, a good amo
unt of controversy\, such as that between Deep Learning\, Machine Learning
and AI.
In this course we will look at the technologies that m
ake up Deep Learning concentrating on Industrial Applications. As this is
a dynamic field\, we will conclude with future trends. - This is the c
ore technology of Deep Learning today. In this class we will review the te
chnology and its history. Then we will look at implementations and some of
the latest trends in Neural Networks. New software and hardware technolog
ies are being applied\, but have antecedents in previous efforts going bac
k to the 1980s \;
CATEGORIES:Education
UID:20170505T1614230Z-511394-1363@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170517T150000
DTEND;TZID=America/Sao_Paulo:20170517T160000
SUMMARY:Selecting the Right Oscilloscope Probe
DESCRIPTION: Why this webcast is important With literally hundreds of osci
lloscope probes available\, how do you know which one is best for your mea
surements? This webcast will discuss different specifications and features
of oscilloscope probes that you’ll want to understand before selecting a
probe\, including: Bandwidth Attenuation ratio Probe loading Benefits of a
ctive vs. passive probes and more! Who should attend Engineers\, technicia
ns and students. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; Why this webcast is important With literally h
undreds of oscilloscope probes available\, how do you know which one is be
st for your measurements? This webcast will discuss different specificatio
ns and features of oscilloscope probes that you&rsquo\;ll want to understa
nd before selecting a probe\, including: - Bandwidth
- Attenuation ratio
- Prob
e loading
- Benefits of acti
ve vs. passive probes
- and more!
Who should attend Engineers\, technicians and students.  \; REGISTER NOW
CATEGORIES:Education
UID:20170505T1623050Z-511394-1367@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170523T130000
DTEND;TZID=America/Sao_Paulo:20170523T140000
SUMMARY:Why Audio Matters for Bluetooth Applications
DESCRIPTION: What role will voice play in the future UX (user experience)
of IoT? When designing or redesigning your Bluetooth devices\, it’s import
ant to understand the criteria to consider now and for the future. In th
is webinar\, you will learn about Bluetooth audio and the different aspect
s to consider when designing your IoT application. Find out how to prepare
your design as voice recognition becomes a “must have” feature in product
s of the future. Join our webinar to learn: Crucial factors to conside
r when designing a wireless audio system How Bluetooth audio is being used
today How to improve audio quality\, maintain constant latency\, and exte
nd the range of your designs REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; What role will voice play i
n the future UX (user experience) of IoT? When designing or redesigning yo
ur Bluetooth devices\, it&rsquo\;s important to understand the criteria to
consider now and for the future.  \; In this webinar\, you will learn about Bluetooth audio and
the different aspects to consider when designing your IoT application. Fi
nd out how to prepare your design as voice recognition becomes a &ldquo\;m
ust have&rdquo\; feature in products of the future.  \;
Join our webinar to learn:  \; - Crucial factors to consider when desig
ning a wireless audio system
- How Bluetooth audio is being used t
oday
- How to improve audio quality\, maintain constant latency\,
and extend the range of your designs
 \; REGISTER
CATEGORIES:Education
UID:20170505T1727180Z-511394-1375@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170511T150000
DTEND;TZID=America/Sao_Paulo:20170511T160000
SUMMARY:Optical Signal Measurements using a Real-time Oscilloscope
DESCRIPTION: Why this webcast is important Real-time oscilloscopes are the
tool-of-choice for debug and troubleshooting designs due to their ability
to capture intermittent signal single-shot events with deep memory and fa
st sample rates. This presentation will introduce a new Keysight O/E conve
rter solution designed for measuring optical signals with real-time oscill
oscopes. Full PPT presentation including schematics and diagrams are avail
able to registrants. Who should attend Engineers who want to use a real-
time oscilloscope to analyze optical signals. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; Why this webcast is important Real-time o
scilloscopes are the tool-of-choice for debug and troubleshooting designs
due to their ability to capture intermittent signal single-shot events wit
h deep memory and fast sample rates. This presentation will introduce a ne
w Keysight O/E converter solution designed for measuring optical signals w
ith real-time oscilloscopes. Full PPT presentation including schematics an
d diagrams are available to registrants.  \; Who should attend Engineers who want to
use a real-time oscilloscope to analyze optical signals.  \; REGISTER NOW  \;
CATEGORIES:Education
UID:20170505T1731570Z-511394-1377@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170510T140000
DTEND;TZID=America/Sao_Paulo:20170510T150000
SUMMARY:GROUNDBREAKING 1800 W WITH 65 V LDMOS TECHNOLOGY
DESCRIPTION:This webinar will cover the five key benefits of increasing the
voltage from 50 V to 65 V\, improving the ease of use to speed RF design.
NXP will also discuss the new MRFX1K80 1800 W performance and show how to
move from existing solutions to this latest product. Webinar topics will
include: The basics of NXP’s RF design strategy Performance review of the
MRFX1K80H device How to easily migrate from earlier product generations Jo
in to learn more and start your RF design right away! Featured Speaker: Fr
anck Nicholls\, Industrial Product Line Manager\, NXP RF Power Register No
w »
X-ALT-DESC;FMTTYPE=text/html:This webinar
will cover the five key benefits of increasing the voltage from 50 V to 6
5 V\, improving the ease of use to speed RF design. NXP will also discuss the new MRFX1K80 1800 W performance
and show how to move from existing solutions to this latest product.
Webinar topics will include: - <
span style='color: #646464\; font-size: 16px\; line-height: 20px\; font-fa
mily: Avenir\, HelveticaNeue\, Calibri\, sans-serif\; font-weight: normal\
;'> The basics of NXP&rsquo\;s RF design strategy
- Performance revi
ew of the MRFX1K80H device
- How to easily migrate from earlier prod
uct generations
Joi
n to learn more and start your RF design right away! Franck Nicholls\, Industrial Product Line M
anager\, NXP RF Power Reg
ister \;Now \;»\;
CATEGORIES:Education
UID:20170505T1716020Z-511394-1371@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170518T150000
DTEND;TZID=America/Sao_Paulo:20170518T160000
SUMMARY: Energy Management and Safety: A team approach
DESCRIPTION:Here are the learning objectives for this Webcast: Understand t
he fundamental link between energy management and energy safety—how the tw
o are not just related\, but interdependent. Learn how to develop and impl
ement an energy management strategy that links the efficient use of all en
ergy—electric\, gas\, compressed air and renewables—with a safety program.
Look at some of the upcoming changes and revisions to NFPA 70E\, which wi
ll be finalized later this year. Our featured speaker is Lanny Floyd\, who
is a member of the Plant Engineering Editorial Advisory Board. Landis “La
nny” Floyd\, PE\, CSP\, CESCP\, CMRP\, CRL\, Life Fellow IEEE is a princip
al consultant for the Electrical Safety Group Inc. and adjunct professor o
f advanced safety engineering and management at the University of Alabama
at Birmingham. You have the ability to watch at your convenience anytime
on-demand until May 18\, 2018. We hope to see you there! Click here to
register.
X-ALT-DESC;FMTTYPE=text/html:Here are th
e learning objectives for this Webcast: - Understand the fundamental link between energy man
agement and energy safety&mdash\;how the two are not just related\, but in
terdependent.
- Learn how to develop and implement an energy m
anagement strategy that links the efficient use of all energy&mdash\;elect
ric\, gas\, compressed air and renewables&mdash\;with a safety program.
- Look at some of the upcoming changes and revisions to NFPA 70E
\, which will be finalized later this year.
Our featured speaker is Lanny Floyd\, who is a membe
r of the Plant Engineering Editorial Advisory Board. Landis &ldqu
o\;Lanny&rdquo\; Floyd\, PE\, CSP\, CESCP\, CMRP\, CRL\, Life Fellow IEEE
is a principal consultant for the Electrical Safety Group Inc. and adjunct
professor of advanced safety engineering and management at the University
of Alabama at Birmingham.  \; You have the ability to watc
h at your convenience anytime on-demand until May 18\, 2018. We hope to se
e you there!  \; Click here to regi
ster.
CATEGORIES:Education
UID:20170505T1744220Z-511394-1380@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170517T130000
DTEND;TZID=America/Sao_Paulo:20170517T140000
SUMMARY:New Technologies Transform Software Radio Architectures
DESCRIPTION:Several new technologies for embedded software radio are being
exploited by system designers to overcome tough performance and cost chall
enges posed by the latest applications. What You'll Learn: Exploit new
technologies for embedded software radio Overcome tough performance and c
ost challenges posed by the latest applications Weigh the advantages and t
radeoffs of higher bandwidth data converters\, gigabit serial data interfa
ces\, wideband optical system links\, digital RF packet standards\, new FP
GA IP protocols\, and graphically-oriented design tools Learn the best des
ign strategy for high-performance applications
X-ALT-DESC;FMTTYPE=text/html:Several new technologies for
embedded software radio are being exploited by system designers to overcom
e tough performance and cost challenges posed by the latest applications.
 \;
What You'll Learn:  \;
- Exploit new tec
hnologies for embedded software radio
- Overcome tough performance and cost cha
llenges posed by the latest applications
- Weigh the advantages and tradeoffs o
f higher bandwidth data converters\, gigabit serial data interfaces\, wide
band optical system links\, digital RF packet standards\, new FPGA IP prot
ocols\, and graphically-oriented design tools
- Learn the best design strategy
for high-performance applications
&nbs
p\;
CATEGORIES:Education
UID:20170505T1701150Z-511394-1369@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170622T140000
DTEND;TZID=America/Sao_Paulo:20170622T150000
SUMMARY:Advances In Unmanned Systems Technology Electronics Solutions
DESCRIPTION:Description: As the Unmanned Systems market prepares for growth
with the acceptance of standards to allow for longer flights\, beyond lin
e of sight operations\, increased autonomy and advanced payloads\; electro
nics solutions must meet the higher standards and mitigate the litigation
risk. We’ll discuss four challenges to growth\, state of the market\, and
solutions for Obstacle Detection/Mapping\, Air to Ground/Air to Air Comms\
, Navigation and Stabilization\, and Power/Propulsion. During this webinar
you will learn: Learn the technology solutions to operating challenges th
at exist. Understand Inertial Measurement Systems\, Transceiver Options\,
RADAR and LIDAR Applications and Power/Propulsion. Why extended range and
endurance\, along with heavier payloads\, requires higher quality and bett
er precision. Who should attend: Airframe Designers\, Systems Engineers\,
Operators\, Design Engineers\, Program Managers\, Marketing\, Sales\, Sour
cing and Supply Chain\, Regulatory. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Description: As the
Unmanned Systems market prepares for growth with the acceptance of standar
ds to allow for longer flights\, beyond line of sight operations\, increas
ed autonomy and advanced payloads\; electronics solutions must meet the hi
gher standards and mitigate the litigation risk. We&rsquo\;ll discuss four
challenges to growth\, state of the market\, and solutions for Obstacle D
etection/Mapping\, Air to Ground/Air to Air Comms\, Navigation and Stabili
zation\, and Power/Propulsion. During this webinar you wil
l learn:
- Learn the technology solutions to
operating challenges that exist.
- Understand Inertial Measurement
Systems\, Transceiver Options\, RADAR and LIDAR Applications and Power/Pr
opulsion.
- Why extended range and endurance\, along with heavier
payloads\, requires higher quality and better precision.
<
strong>Who should attend: Airframe Designers\, Systems Engin
eers\, Operators\, Design Engineers\, Program Managers\, Marketing\, Sales
\, Sourcing and Supply Chain\, Regulatory. REGISTER
CATEGORIES:Education
UID:20170610T2006590Z-511394-1438@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170516T160000
DTEND;TZID=America/Sao_Paulo:20170516T170000
SUMMARY:Cat M1 Network for Industrial IoT Deployment
DESCRIPTION:Newly available low-power Cat M1 LTE cellular service is now av
ailable using end-device-certified modems operating on nationwide LTE netw
orks. Cat M1 provides affordable\, low power cellular access and is ideal
for large-volume\, low-bandwidth\, battery powered or off-the-grid monitor
ing and reporting systems. This webinar will cover Cat M1 capabilities an
d benefits along with design requirements and ways to speed product develo
pment. This webinar will also focus on: - LTE Cat M1 and its industrial ap
plications - Rapid development using the Skywire Cat M1 modem and developm
ent kit - The QTELLUS Asset Tracker System\, a ready-to-deploy application
made possible by Cat M1 technology and utilizing the Skywire Cat M1 modem
's built-in GPS and temperature sensor Register Now
X-ALT-DESC;FMTTYPE=text/html: Newly a
vailable low-power Cat M1 LTE cellular service is now available using end-
device-certified modems operating on nationwide LTE networks. Cat M1 provi
des affordable\, low power cellular access and is ideal for large-volume\,
low-bandwidth\, battery powered or off-the-grid monitoring and reporting
systems. \;
This webinar will cover Cat M1 capabilities and
benefits along with design requirements and ways to speed product develop
ment. This webinar will also focus on:
- LTE Cat M1 and its ind
ustrial applications - Rapid development using the Skywire Cat M1 mod
em and development kit - The QTELLUS Asset Tracker System\, a ready-t
o-deploy application made possible by Cat M1 technology and utilizing the
Skywire Cat M1 modem's built-in GPS and temperature sensor Register Now
CATEGORIES:General
UID:20170505T1728480Z-511394-1376@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170510T140000
DTEND;TZID=America/Sao_Paulo:20170510T150000
SUMMARY:Preventing Random Field Failures in FPGA Design
DESCRIPTION: Due to the growth in complexity of FPGAs and integration of co
mplex IP\, the number of asynchronous clocks within FPGA designs has drama
tically increased. It is becoming increasingly difficult to verify these d
esigns for metastability issues due to asynchronous clock domain crossings
(CDC). Clock domain crossings are a key cause of non-deterministic & rand
om field failures in FPGA based systems\, leading to unnecessary cycles of
design and debug – costing designers both valuable time and resources. In
this webinar\, we will discuss how SpyGlass delivers a unique solution to
not only enforce RTL coding guidelines and quality standards for in house
and third-party IP providers\, but also address CDC issues early at RTL f
or FPGA designs\, saving valuable time and costly design re-spins. SpyGlas
s CDC leverages the industry leading SpyGlass Platform and GuideWare metho
dology for an easy to use and comprehensive flow for RTL signoff. Register
X-ALT-DESC;FMTTYPE=text/html: \;Due to the growth in complexity of F
PGAs and integration of complex IP\, the number of asynchronous clocks wit
hin FPGA designs has dramatically increased. It is becoming increasingly d
ifficult to verify these designs for metastability issues due to asynchron
ous clock domain crossings (CDC). Clock domain crossings are a key cause o
f non-deterministic &\; random field failures in FPGA based systems\, l
eading to unnecessary cycles of design and debug &ndash\; costing designer
s both valuable time and resources. In this webinar\, we will
discuss how SpyGlass delivers a unique solution to not only enforce RTL co
ding guidelines and quality standards for in house and third-party IP prov
iders\, but also address CDC issues early at RTL for FPGA designs\, saving
valuable time and costly design re-spins. SpyGlass CDC leverages the indu
stry leading SpyGlass Platform and GuideWare methodology for an easy to us
e and comprehensive flow for RTL signoff. Register
CATEGORIES:Education
UID:20170505T1741030Z-511394-1379@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170303T160000
DTEND;TZID=America/Sao_Paulo:20170303T170000
SUMMARY:Sensor Edge Processing for the IoT - Day 5
DESCRIPTION:While the cloud is a powerful tool for analytics of the massive
amount of data generated by the Internet of Things (IoT)\, it also introd
uces long delays which are antithetical to the needs of the IoT. Some of t
he analytics require the whole set of measurements being taken. Others are
time sensitive and do not require all the data. This leads to the idea of
processing some of the data locally and aggregating all the data in the c
loud for overall analysis. The tradeoff we are dealing with is between lat
ency and data volume. The local processing is often called edge processing
. In this course we will look at the overall architecture and some of the
details of edge processing for the IoT. March 3 – Day 5: Algorithms This t
ype of distributed system has a major effect on the algorithms used. We w
ill look at the algorithms used at each level and at the interaction betwe
en them. For example\, parameters for a statistical test might be evaluat
ed in the cloud to be used at the sensor level. Over time\, these paramet
ers will be re-evaluated as more data comes in and then communicated to th
e sensors. This and other approaches will be discussed.
X-ALT-DESC;FMTTYPE=text/html:While the clo
ud is a powerful tool for analytics of the massive amount of data generate
d by the Internet of Things (IoT)\, it also introduces long delays which a
re antithetical to the needs of the IoT. Some of the analytics require the
whole set of measurements being taken. Others are time sensitive and do n
ot require all the data. This leads to the idea of processing some of the
data locally and aggregating all the data in the cloud for overall analysi
s. The tradeoff we are dealing with is between latency and data volume. Th
e local processing is often called edge processing.
In this cou
rse we will look at the overall architecture and some of the details of ed
ge processing for the IoT. -
This type of distr
ibuted system has a major effect on the algorithms used. \; We will lo
ok at the algorithms used at each level and at the interaction between the
m. \; For example\, parameters for a statistical test might be evaluat
ed in the cloud to be used at the sensor level. \; Over time\, these p
arameters will be re-evaluated as more data comes in and then communicated
to the sensors. \; This and other approaches will be discussed.
CATEGORIES:Education
UID:20170221T1823160Z-511394-1234@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170117T160000
DTEND;TZID=America/Sao_Paulo:20170117T170000
SUMMARY:Leveraging the IIC’s Industrial Internet Security Framework
DESCRIPTION: As connected devices become more prevalent\, industrial syste
ms are growing and advancing at an unprecedented rate\, exposing networks
that have otherwise been isolated from attacks. This has created an enormo
us risk for businesses operating industrial processes and placed an emphas
is on the need for a comprehensive security solution. In September\, the I
ndustrial Internet Consortium (IIC) announced the release of the Industria
l Internet Security Framework (IISF)\, the most in-depth framework that ad
dresses security issues in Industrial Internet of Things (IIoT) systems. T
he new framework focuses on safety\, reliability\, resilience\, security a
nd privacy\, and is designed to help IT and OT professionals\, system arch
itects and industrial engineers define risks\, assessments and threats to
proactively mitigate security concerns in complex IIoT infrastructure. In
this webinar\, Dr. Stan Schneider\, CEO of RTI and IIC Steering Committee
member\, and Dr. Hamed Soroush\, senior research security engineer at RTI\
, co-chair of IIC Security Working Group\, and co-author of the IISF\, wil
l provide an overview of the key points of the IISF including how to imple
ment advanced security technology without interfering with performance and
reliability. Additionally\, attendees will learn the best practices for l
everaging the document in developing security solutions for critical indus
trial systems. Speakers: Hamed Soroush\, Senior Research Security Engineer
\, RTI Stan Schneider\, Ph.D.\, CEO\, RTI Moderator: Brandon Lewis\, Open
Systems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | As connected devices become more prevalent\, ind
ustrial systems are growing and advancing at an unprecedented rate\, expos
ing networks that have otherwise been isolated from attacks. This has crea
ted an enormous risk for businesses operating industrial processes and pla
ced an emphasis on the need for a comprehensive security solution. In September\, the Industrial Internet Consortium (IIC) announced the rel
ease of the Industrial Internet Security Framework (IISF)\, the most in-de
pth framework that addresses security issues in Industrial Internet of Thi
ngs (IIoT) systems. The new framework focuses on safety\, reliability\, re
silience\, security and privacy\, and is designed to help IT and OT profes
sionals\, system architects and industrial engineers define risks\, assess
ments and threats to proactively mitigate security concerns in complex IIo
T infrastructure. In this webinar\, Dr. Stan Schneider\, CEO of RT
I and IIC Steering Committee member\, and Dr. Hamed Soroush\, senior resea
rch security engineer at RTI\, co-chair of IIC Security Working Group\, an
d co-author of the IISF\, will provide an overview of the key points of th
e IISF including how to implement advanced security technology without int
erfering with performance and reliability. Additionally\, attendees will l
earn the best practices for leveraging the document in developing security
solutions for critical industrial systems. | Speakers: Hamed Soroush\, Senior Research Security Engineer\, RTI
Stan Schneider\, Ph.D.\, CEO\, RTI Moderator: \; Brandon Lewis\, OpenSystems Media
| |  \; |
CATEGORIES:Education
UID:20161209T1124060Z-511394-1157@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161214T140000
DTEND;TZID=America/Sao_Paulo:20161214T150000
SUMMARY:Innovation in Isolated Fieldbus Communications for Harsh Industrial
Environments
DESCRIPTION:Overview: Sponsored by Analog Devices and Avnet. Challenges in
industrial fieldbus applications will be highlighted with detailed discus
sion given to protection against IEC 61000 high voltage transients (Surge\
, ESD\, EFT)\, immunity to common IEC 61000 conducted\, radiated\, and mag
netic disturbances as well as protection against common installation error
s. The benefits and impact of various solutions leading to greater robustn
ess\, space savings\, alleviation of certification burden will be given. W
ho Should Attend: Engineers seeking EMC robust communication interface sol
utions: Marketing Engineers\, Marketing Managers\, Product Application Eng
ineers\, System Application Engineers\, System
X-ALT-DESC;FMTTYPE=text/html:Overview:
Sponsored by Analog Devices and Avnet. \; \;Challeng
es in industrial fieldbus applications will be highlighted with detailed d
iscussion given to protection against IEC 61000 high voltage transients (S
urge\, ESD\, EFT)\, immunity to common IEC 61000 conducted\, radiated\, an
d magnetic disturbances as well as protection against common installation
errors. The benefits and impact of various solutions leading to gre
ater robustness\, space savings\, alleviation of certification burden will
be given.
Who Should A
ttend: Engineers
seeking EMC robust communication interface solutions: Marketing Engineers\
, Marketing Managers\, Product Application Engineers\, System Application
Engineers\, System &n
bsp\;  \;  \
;
CATEGORIES:Education
UID:20161209T1139210Z-511394-1159@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170523T160000
DTEND;TZID=America/Sao_Paulo:20170523T170000
SUMMARY:Building Wireless Interfaces for Microcontrollers - Day 2
DESCRIPTION:Wireless devices are commonly used in vertical markets such as
consumer\, automotive\, entertainment\, and industrial. Today's engineers\
, industrial designers\, and makers have a variety of wireless chipsets\,
circuits\, and development platforms to choose from for their embedded pro
duct designs. In this five-day course\, participants will explore a variet
y of wireless platforms and circuits to use when interfacing to microcontr
ollers. The prototyping concepts presented are to provide an experimental
framework for learning basic electronic circuit interfaces to create wirel
ess microcontroller devices. May 23 – Day 2: Building an Analog Wireless T
ransmitter Circuit for Microcontroller Microcontrollers Classic analog tra
nsistor transmitter circuits can be upcycled easily using today’s microcon
troller technology. In this session\, you will learn how to build and test
a two-transistor wireless transmitter circuit. Awireless light sensor pro
ject aided by the Arduino and the analog wireless transmitter circuit will
be presented.
X-ALT-DESC;FMTTYPE=text/html:Wireless devi
ces are commonly used in vertical markets such as consumer\, automotive\,
entertainment\, and industrial. Today's engineers\, industrial designers\,
and makers have a variety of wireless chipsets\, circuits\, and developme
nt platforms to choose from for their embedded product designs.
In this five-day course\, participants will explore a variety of wireless
platforms and circuits to use when interfacing to microcontrollers. The p
rototyping concepts presented are to provide an experimental framework for
learning basic electronic circuit interfaces to create wireless microcont
roller devices.
CATEGORIES:Education
UID:20170518T1742380Z-511394-1389@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170526T160000
DTEND;TZID=America/Sao_Paulo:20170526T170000
SUMMARY:Building Wireless Interfaces for Microcontrollers - Day 5
DESCRIPTION:Wireless devices are commonly used in vertical markets such as
consumer\, automotive\, entertainment\, and industrial. Today's engineers\
, industrial designers\, and makers have a variety of wireless chipsets\,
circuits\, and development platforms to choose from for their embedded pro
duct designs. In this five-day course\, participants will explore a variet
y of wireless platforms and circuits to use when interfacing to microcontr
ollers. The prototyping concepts presented are to provide an experimental
framework for learning basic electronic circuit interfaces to create wirel
ess microcontroller devices. May 26 – Day 5: IR Circuit Interfacing Handhe
ld IR (Infrared) remotes are common wireless controls used to operate cabl
e boxes\, TVs\, and electronic toys. In this session you will learn how to
prototype concept IR control circuits to be interface with microcontrolle
rs. The littleBits IR sensor and latch electronic modules along with an Ar
duino will provide the technology materials in prototyping an IR controlle
r.
X-ALT-DESC;FMTTYPE=text/html:Wireless devi
ces are commonly used in vertical markets such as consumer\, automotive\,
entertainment\, and industrial. Today's engineers\, industrial designers\,
and makers have a variety of wireless chipsets\, circuits\, and developme
nt platforms to choose from for their embedded product designs.
In this five-day course\, participants will explore a variety of wireless
platforms and circuits to use when interfacing to microcontrollers. The p
rototyping concepts presented are to provide an experimental framework for
learning basic electronic circuit interfaces to create wireless microcont
roller devices.
CATEGORIES:Education
UID:20170518T1748020Z-511394-1392@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170608T160000
DTEND;TZID=America/Sao_Paulo:20170608T170000
SUMMARY:Gaining an IoT Competitive Edge
DESCRIPTION: Given the influx of IoT devices flooding the market\, getti
ng your product to market quickly and having your product stand out agains
t the competition are key to success. Learning how to design\, build\, dep
loy\, and manage your devices takes time and knowledge. Focus on your iot
differentiators and let our ready-built software solutions take care of th
e rest. Learn: How Wind River Pulsar Linux allows you to focus on creating
differentiating applications Ways Wind River Helix Device Cloud reduces t
he amount of time and resources spent on device management What secure mea
ns to Wind River and how these products help arm you against threats known
and unknown How these products have helped real customers speed developme
nt and deployment Speakers: Sean Evoy\, Senior Product Manager\, Devic
e Cloud\, Wind River Derrick Lavado\, Senior Ecosystem and Channel Manager
\, Pulsar Linux\, Wind River Moderator: Curt Schwaderer\, OpenSystems Med
ia REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \
; Given the influx of IoT devices flooding the market\, getting yo
ur product to market quickly and having your product stand out against the
competition are key to success. Learning how to design\, build\, deploy\,
and manage your devices takes time and knowledge. Focus on your iot diffe
rentiators and let our ready-built software solutions take care of the res
t. Learn: - How Wind River Pulsar Linux allows you to
focus on creating differentiating applications
- Ways Wind River
Helix Device Cloud reduces the amount of time and resources spent on devic
e management
- What secure means to Wind River and how these produ
cts help arm you against threats known and unknown
- How these pro
ducts have helped real customers speed development and deployment
ul>  \;  \; | Speakers: Sean Evoy\, Seni
or Product Manager\, Device Cloud\, Wind River Derrick Lavado\, Seni
or Ecosystem and Channel Manager\, Pulsar Linux\, Wind River Moderator: \; Curt Schwadere
r\, OpenSystems Media | |
CATEGORIES:Education
UID:20170518T1751430Z-511394-1394@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170614T150000
DTEND;TZID=America/Sao_Paulo:20170614T160000
SUMMARY: Building New Business Models with the Internet of Things (IoT)
DESCRIPTION: Building New Business Models with the Internet of Things (IoT
) Live-Webinar: Register Today Date: June 14th\, 2017 Time: 1:00 pm ET Pl
ease join us for this webinar sponsored by Gemalto\, Software Monetization
division on June 14 at 1PM ET. Click to Register As organizations shift w
hat they sell from products to services to insights and ultimately outcome
s\, IoT is a key driver in jump starting growth and creating new revenue m
odels. Constellation Research estimates that there will be 80 billion sens
ors in devices by 2020. This opportunity also comes with challenges in sec
uring these devices\, supporting new revenue models\, and ensuring IP prot
ection. Join Constellation Research CEO\, R “Ray” Wang and the Gemalto
team in understanding what you need to build your IoT business. Also pre
senting will be Oleg Pachkovets\, Director\, Technical Sales\, Gemalto M2M
\, David Madden\, Senior Director\, Business Development\, Gemalto\, Enter
prise Security and Todd Steel\, Director Product Management\, Gemalto Soft
ware Monetization who will talk about how to connect\, secure and monetize
the IoT. Register now while there's still time.
X-ALT-DESC;FMTTYPE=text/html: \; Build
ing New Business Models with the Internet of Things (IoT) Live-We
binar: \;Register Today \; Date: \;June 14th\,
2017 Time: 1:00 pm ET
span> Please join us for this webinar sponsored by Gemalto\, Software Monetization division \;on June 14 at 1PM ET. Click to \;Register As organization
s shift what they sell from products to services to insights and ultimatel
y outcomes\, IoT is a key driver in jump starting growth and creating new
revenue models. Constellation Research estimates that the
re will be 80 billion sensors in devices by 2020. This opportunity also co
mes with challenges in securing these devices\, supporting new revenue mod
els\, and ensuring IP protection.  \;  \; Join Constellation Research CEO\, R &ldquo\;Ray&rdquo\; Wang and the
Gemalto team in understanding what you need to build your IoT business.&nb
sp\; Also presenting will be Oleg Pachkovets\, Director\, Technica
l Sales\, Gemalto M2M\, \;David Madden\, Senior Director\, Business De
velopment\, Gemalto\, Enterprise Security and Todd Steel\, Director Produc
t Management\, Gemalto Software Monetization who will talk about
how \;to connect\, secure and monetize the IoT. <
a href='http://email.opensystemsmedia.com/yBYIzy4F0y05NYT08y00020'>Register now while there's sti
ll time. |  \;  \;
CATEGORIES:Education
UID:20170518T1756390Z-511394-1395@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170606T130000
DTEND;TZID=America/Sao_Paulo:20170606T140000
SUMMARY:Learn about DevOps-based service assurance for the NFV/SDN era
DESCRIPTION:Communications service providers need a new service assurance a
pproach to realize the business benefits of network virtualization. Tradit
ional\, siloed\, waterfall-based service assurance methods are not fit for
the purpose in the era of network function virtualization/software-define
d networking (NFV/SDN) and must give way to a DevOps-based methodology whe
re virtualized network functions (VNFs) and end-to-end services are valida
ted\, tested\, and operationalized in a seamless manner. Service assurance
should play a critical role in the full service lifecycle to realize the
business benefits of service and operational agility. Key Webinar Topics
The need for a new approach to service assurance in the era of NFV/SDN
The evolution from waterfall to DevOps-based service assurance Key Lifecyc
le Service Assurance use cases in NFV Answers to audience questions during
live Q&A Register Now →
X-ALT-DESC;FMTTYPE=text/html:Communications servic
e providers need a new service assurance approach to realize the business
benefits of network virtualization. Traditional\, siloed\, waterfall-based
service assurance methods are not fit for the purpose in the era of netwo
rk function virtualization/software-defined networking (NFV/SDN) and must
give way to a DevOps-based methodology where virtualized network functions
(VNFs) and end-to-end services are validated\, tested\, and operationaliz
ed in a seamless manner. Service assurance should play a critical role in
the full service lifecycle to realize the business benefits of service and
operational agility.  \; <
strong>Key Webinar Topics  \;  \;Register Now &rarr\;
CATEGORIES:Education
UID:20170518T1750170Z-511394-1393@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170522T160000
DTEND;TZID=America/Sao_Paulo:20170522T170000
SUMMARY:Building Wireless Interfaces for Microcontrollers - Day 1
DESCRIPTION:Wireless devices are commonly used in vertical markets such as
consumer\, automotive\, entertainment\, and industrial. Today's engineers\
, industrial designers\, and makers have a variety of wireless chipsets\,
circuits\, and development platforms to choose from for their embedded pro
duct designs. In this five-day course\, participants will explore a variet
y of wireless platforms and circuits to use when interfacing to microcontr
ollers. The prototyping concepts presented are to provide an experimental
framework for learning basic electronic circuit interfaces to create wirel
ess microcontroller devices. May 22 – Day 1: Traditional RF Circuits for M
icrocontrollers In this session you will learn electronic circuit techniqu
es for interfacing a 315MHz remote and receiver module to an Arduino.
X-ALT-DESC;FMTTYPE=text/html:Wireless devi
ces are commonly used in vertical markets such as consumer\, automotive\,
entertainment\, and industrial. Today's engineers\, industrial designers\,
and makers have a variety of wireless chipsets\, circuits\, and developme
nt platforms to choose from for their embedded product designs.
In this five-day course\, participants will explore a variety of wireless
platforms and circuits to use when interfacing to microcontrollers. The p
rototyping concepts presented are to provide an experimental framework for
learning basic electronic circuit interfaces to create wireless microcont
roller devices. -
May 22 &
ndash\; Day 1: Traditional RF Circuits for Microcontrollers In this session you will learn electronic circuit techniques for inter
facing a 315MHz remote and receiver module to an Arduino. <
/div>
CATEGORIES:Education
UID:20170518T1740580Z-511394-1388@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170525T160000
DTEND;TZID=America/Sao_Paulo:20170525T170000
SUMMARY:Building Wireless Interfaces for Microcontrollers - Day 4
DESCRIPTION:Wireless devices are commonly used in vertical markets such as
consumer\, automotive\, entertainment\, and industrial. Today's engineers\
, industrial designers\, and makers have a variety of wireless chipsets\,
circuits\, and development platforms to choose from for their embedded pro
duct designs. In this five-day course\, participants will explore a variet
y of wireless platforms and circuits to use when interfacing to microcontr
ollers. The prototyping concepts presented are to provide an experimental
framework for learning basic electronic circuit interfaces to create wirel
ess microcontroller devices. May 25 – Day 4: BLE Basics with the Arduino 1
01 Bluetooth allows short range communication between electronic devices.
BLE (Bluetooth Low Energy) reduces power consumption of 3.3V battery power
ed devices during data transmission events. The Arduino 101 provides a BLE
chip allowing concept short range communication devices to be prototype r
apidly. In this session we will explore the Arduino 101 by building a BLE
based light sensor.
X-ALT-DESC;FMTTYPE=text/html: Wireless devi
ces are commonly used in vertical markets such as consumer\, automotive\,
entertainment\, and industrial. Today's engineers\, industrial designers\,
and makers have a variety of wireless chipsets\, circuits\, and developme
nt platforms to choose from for their embedded product designs.
In this five-day course\, participants will explore a variety of wireless
platforms and circuits to use when interfacing to microcontrollers. The p
rototyping concepts presented are to provide an experimental framework for
learning basic electronic circuit interfaces to create wireless microcont
roller devices.
CATEGORIES:Education
UID:20170518T1745150Z-511394-1390@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170524T160000
DTEND;TZID=America/Sao_Paulo:20170524T170000
SUMMARY:Building Wireless Interfaces for Microcontrollers - Day 3
DESCRIPTION:Wireless devices are commonly used in vertical markets such as
consumer\, automotive\, entertainment\, and industrial. Today's engineers\
, industrial designers\, and makers have a variety of wireless chipsets\,
circuits\, and development platforms to choose from for their embedded pro
duct designs. In this five-day course\, participants will explore a variet
y of wireless platforms and circuits to use when interfacing to microcontr
ollers. The prototyping concepts presented are to provide an experimental
framework for learning basic electronic circuit interfaces to create wirel
ess microcontroller devices. May 24 – Day 3: NFC/RFID Controller The PN532
is the most popular NFC (Near Field Communication) IC used in today’s sma
rtphones and PoS (Point of Sale) readers. In this session you will learn a
bout NFC/RFID (Radio Frequency Identification) technology and how to inter
face the PN532 to an Arduino. The hands-on project will explore reading ta
gs using the Adafruit NFC/RFID Controller shield for the Arduino.
X-ALT-DESC;FMTTYPE=text/html: Wireless devi
ces are commonly used in vertical markets such as consumer\, automotive\,
entertainment\, and industrial. Today's engineers\, industrial designers\,
and makers have a variety of wireless chipsets\, circuits\, and developme
nt platforms to choose from for their embedded product designs.
In this five-day course\, participants will explore a variety of wireless
platforms and circuits to use when interfacing to microcontrollers. The p
rototyping concepts presented are to provide an experimental framework for
learning basic electronic circuit interfaces to create wireless microcont
roller devices.
CATEGORIES:Education
UID:20170518T1746390Z-511394-1391@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170614T160000
DTEND;TZID=America/Sao_Paulo:20170614T170000
SUMMARY:Thermal Management Solutions for Medical Devices
DESCRIPTION:Designers for powered medical devices are facing many new chall
enges. Devices are becoming smaller and more powerful\, which is good\, bu
t certainly creates thermal management issues. If these devices get too ho
t\, there can be safety issues for both the care giver and patient. Moreov
er\, overheated devices can perform poorly or not all. This free 30-minute
Webinar will explore solutions that ACT has developed for medical product
s including use of heat pipes\, vapor chambers and PCM heat sinks. We’ll r
eview these technologies and examine some applications where they have bee
n successfully implemented. These technologies are providing similar therm
al management benefits in many other applications as well\, including the
military\, avionics\, lighting\, and energy sectors. Anyone dealing with t
hermal management issues in the medical device industry and beyond are enc
ouraged to register. For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html: Designers for powered medical devices are f
acing many new challenges. Devices are becoming smaller and more powerful\
, which is good\, but certainly creates thermal management issues. If thes
e devices get too hot\, there can be safety issues for both the care giver
and patient. Moreover\, overheated devices can perform poorly or not all.
This free 30-minute Webinar will explore solutions that ACT
has developed for medical products including use of heat pipes\, vapor cha
mbers and PCM heat sinks. We&rsquo\;ll review these technologies and exami
ne some applications where they have been successfully implemented.
These technologies are providing similar thermal management benefit
s in many other applications as well\, including the military\, avionics\,
lighting\, and energy sectors. Anyone dealing with thermal management iss
ues in the medical device industry and beyond are encouraged to register.<
/p>  \; | For more information and to register\
, click here. |  \; |
 \;
CATEGORIES:Education
UID:20170518T1802140Z-511394-1397@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170629T150000
DTEND;TZID=America/Sao_Paulo:20170629T160000
SUMMARY:The Importance of Hand-held Vector Network Analyzers in 5G Trials
DESCRIPTION:Summary To achieve full deployment of fifth-generation cellular
technology\; industry\, government\, and academic institutions are workin
g hard to develop the required components\, systems\, subsystems\, and oth
er required infrastructure. A key element of this process is performing tr
ials in the field for evaluating developmental 5G systems\, which has alre
ady begun and requires test equipment with the highest possible performanc
e. In many cases\, it is highly desirable to use hand-held\, portable RF a
nd microwave instruments rather than racks of benchtop test equipment for
this purpose. The Vector Network Analyzer is one of the most important of
these instruments\, as it incorporates the most comprehensive capabilities
. This webinar describes how designers\, engineers\, and technicians can u
se these VNAs during 5G network trials\, as well as challenges they will f
ace and solutions that are available. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Summary To achieve full
deployment of fifth-generation cellular technology\; industry\, government
\, and academic institutions are working hard to develop the required comp
onents\, systems\, subsystems\, and other required infrastructure. A key e
lement of this process is performing trials in the field for evaluating de
velopmental 5G systems\, which has already begun and requires test equipme
nt with the highest possible performance. In many cases\, it is highly des
irable to use hand-held\, portable RF and microwave instruments rather tha
n racks of benchtop test equipment for this purpose. The Vector Network An
alyzer is one of the most important of these instruments\, as it incorpora
tes the most comprehensive capabilities. This webinar describes how design
ers\, engineers\, and technicians can use these VNAs during 5G network tri
als\, as well as challenges they will face and solutions that are availabl
e.  \; REGISTER NOW
CATEGORIES:Education
UID:20170620T1816430Z-511394-1448@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170621T120000
DTEND;TZID=America/Sao_Paulo:20170621T130000
SUMMARY:Designing for Safety in Non-Mobile Applications - Class 2
DESCRIPTION:Ensuring that currents don’t exceed safe levels is just one are
a that will be explored in Day 2 of this three-day class. Using specific p
roduct examples to remove the guesswork\, our expert instructor will expla
in how to protect against overcurrent damage using a 12-V buck regulator f
amily as the design example. You’ll also get an understanding of how linea
r and low drop-out (LDO) regulators operate\, including their key performa
nce parameters. Day 2 sponsored by Intersil. REGISTER FOR CLASS 2 NOW!
X-ALT-DESC;FMTTYPE=text/html: Ensuring that currents don&rsquo\;t exceed
safe levels is just one area that will be explored in Day 2 of this three-
day class. Using specific product examples to remove the guesswork\, our e
xpert instructor will explain how to protect against overcurrent damage us
ing a 12-V buck regulator family as the design example. You&rsquo\;ll also
get an understanding of how linear and low drop-out (LDO) regulators oper
ate\, including their key performance parameters. Day 2 sponsored by Inter
sil.  \; REGISTER FOR CLASS
2 NOW!
CATEGORIES:Education
UID:20170620T1848330Z-511394-1454@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170629T150000
DTEND;TZID=America/Sao_Paulo:20170629T160000
SUMMARY:3 Key Requirements to Lock in Security for Your Design
DESCRIPTION: Why this webinar is important What do video cameras\, cars\,
point-of-sales terminals\, and baby monitors have in common? Each has been
hacked. In today’s smart\, connected world\, products we use every day ar
e more vulnerable to cyberattacks. According to Gartner\, 20% of enterpris
e attacks will involve the IoT in 2020. But all too often\, security rem
ains an afterthought—often because of misconceptions over how much time\,
effort\, and money is required. Get the real scoop by attending our webi
nar\, 3 Key Requirements to Lock in Security for Your Design. You’ll learn
: Why it’s critical to design security in early Why software-based securit
y is misleading How hardware-based security offers the most robust protect
ion And how turnkey embedded security delivers the authenticity\, confiden
tiality\, and integrity that are essential to meeting security requirement
s without impacting your design cycle
X-ALT-DESC;FMTTYPE=text/html:  \; Why this webinar is important
What do video cameras\, cars\, point-of-sales terminals\,
and baby monitors have in common? Each has been hacked. In today&rsquo\;s
smart\, connected world\, products we use every day are more vulnerable to
cyberattacks. According to Gartner\, 20% of enterprise attacks will invol
ve the IoT in 2020.  \; But all too often\, security r
emains an afterthought&mdash\;often because of misconceptions over how muc
h time\, effort\, and money is required.  \; Get the r
eal scoop by attending our webinar\, 3 Key Requirements to Lock in Security for
Your Design. You&rsquo\;ll learn: - Why it&rsquo
\;s critical to design security in early
- Why software-based secu
rity is misleading
- How hardware-based security offers the most r
obust protection
- And how turnkey embedded security delivers the
authenticity\, confidentiality\, and integrity that are essential to meeti
ng security requirements without impacting your design cycle
CATEGORIES:Education
UID:20170620T1831370Z-511394-1452@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170628T110000
DTEND;TZID=America/Sao_Paulo:20170628T120000
SUMMARY:How to perform advanced debugging on Heterogeneous ARM® Cortex Syst
ems
DESCRIPTION:In this Guest webinar\, we will show you how ARM DS-MDK simplif
ies software development on heterogeneous devices by enabling in a single
environment\, a Cortex-M bare-metal debug\, Linux kernel debug\, and Linux
application debug on Cortex-A. You will experience how OpenAMP libraries
are used for inter-processors communication and how ARM DS-MDK makes the i
ntegration in your application very easy. We are using a Colibri with the
NXP® i.MX 7 which features dual core Cortex-A7 and a Cortex-M4 CPU core. T
he new Colibri Aster Carrier Board not only provides Raspberry Pi and Ardu
ino-compatible headers\, but also a JTAG interface. Key takeaways include:
How to get started with ARM DS-MDK OS-aware JTAG debugging on Linux and R
TOS Advanced debugging on Cortex-A and Cortex-M Please note: We will have
a dedicated question and answer session at the end of the webinar. REGIS
TER
X-ALT-DESC;FMTTYPE=text/html: In this Guest web
inar\, we will show you how ARM DS-MDK simplifies software development on
heterogeneous devices by enabling in a single environment\, a Cortex-M bar
e-metal debug\, Linux kernel debug\, and Linux application debug on Cortex
-A. You will experience how OpenAMP libraries are used for inter-processor
s communication and how ARM DS-MDK makes the integration in your applicati
on very easy. We are using a Colibri with the NXP®\; i.MX 7
which features dual core Cortex-A7 and a Cortex-M4 CPU core. The new Colib
ri Aster Carrier Board not only provides Raspberry Pi and Arduino-compatib
le headers\, but also a JTAG interface. Key takeaways include:
span> - How to get started with ARM DS-MDK
- OS-awa
re JTAG debugging on Linux and RTOS
- Advanced debugging on Cortex
-A and Cortex-M
Please note: We will have a dedi
cated question and answer session at the end of the webinar. <
p style='margin-top: 10px\;'> \; REGISTER
CATEGORIES:Education
UID:20170620T1829190Z-511394-1451@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170628T140000
DTEND;TZID=America/Sao_Paulo:20170628T150000
SUMMARY:Enabling Functional Safety for FPGA-based Hardware Design
DESCRIPTION:The need for functional safety integration for high-availabilit
y electronic systems that demand high uptime and high quality of service i
s growing in data center\, industrial automation and control\, and medical
applications. The incorporation of functional safety design techniques ha
s been common for space applications for more than a decade\, but with the
advancement of FPGA process technologies and their use in terrestrial app
lications\, even electronic equipment operating at sea level can experienc
e “glitches” that can cause incorrect operation. Special design techniques
are required to detect such faults and return the design to safe and corr
ect operation. In this webinar\, you will learn how to automatically “buil
d-in” soft error detection and mitigation with Synopsys Synplify Premier F
PGA design tools. Topics covered will include: Functional safety and requi
rements for hardware How and when to use triple modular redundancy (TMR) T
echniques available to ensure safe operation of RAMs\, Finite State Machin
es\, Sequential logic\, and design logic and the various considerations an
d trade-offs How to constrain\, visualize\, and analyze your design using
the new HDL Analyst functionality Hardware design to help enable functiona
l safety in system software REGISTER
X-ALT-DESC;FMTTYPE=text/html: The need for functional safety integration
for high-availability electronic systems that demand high uptime and high
quality of service is growing in data center\, industrial automation and c
ontrol\, and medical applications. The incorporation of functional safety
design techniques has been common for space applications for more than a d
ecade\, but with the advancement of FPGA process technologies and their us
e in terrestrial applications\, even electronic equipment operating at sea
level can experience &ldquo\;glitches&rdquo\; that can cause incorrect op
eration. Special design techniques are required to detect such faults and
return the design to safe and correct operation. In this webinar\, you wil
l learn how to automatically &ldquo\;build-in&rdquo\; soft error detection
and mitigation with Synopsys Synplify Premier FPGA design tools. Topics covered will include: - Functional safety a
nd requirements for hardware
- How and when to use triple modular
redundancy (TMR)
- Techniques available to ensure safe operation o
f RAMs\, Finite State Machines\, Sequential logic\, and design logic and t
he various considerations and trade-offs
- How to constrain\, visu
alize\, and analyze your design using the new HDL Analyst functionality
- Hardware design to help enable functional safety in system softwar
e
RE
GISTER
CATEGORIES:Education
UID:20170620T1820560Z-511394-1449@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161214T160000
DTEND;TZID=America/Sao_Paulo:20161214T170000
SUMMARY:Develop Expert IoT Security Without Being an IoT Expert
DESCRIPTION: Speakers: Grant Asplund\, Vice President of Sales and Busines
s Development\, CENTRI Technology James Stennett\, Director of Product Dev
elopment\, CENTRI Technology Sensor manufacturers and device makers must m
ake security a priority for all upcoming IoT projects. This exclusive webi
nar will outline why you need to consider CENTRI IoTAS - Internet of Thing
s Advanced Security platform to secure your data and devices. Only the fir
st 100 attendees can join! With CENTRI\, it's easy to develop advanced sec
urity into your next project or 'thing'. In this webinar we'll show you ho
w our purpose-built solution performs faster\, operates more efficiently\,
and is the simplest way to add advanced security to your IoT project. Reg
ister here. In this webinar we will cover: Why the IoT needs a different k
ind of security What a purpose-built solution should feature The pitfalls
of open source and proprietary security options for IoT How IoTAS secures
your IoT data and devices There will also be a chance for the audience to
ask questions. 1 live attendee will have a chance to win a set of Bose hea
dphones!
X-ALT-DESC;FMTTYPE=text/html:  \; Speakers:<
/p> - Grant Asplund\, Vice President of Sales and Business Develo
pment\, CENTRI Technology
- James Stennett\, Director of Product D
evelopment\, CENTRI Technology
Sensor manufacturer
s and device makers must make security a priority for all upcoming IoT pro
jects. \;This exclusive webinar will outline why you
need to consider CENTRI IoTAS - Internet of Things Advanced Security platf
orm to secure your data and devices. Only the first 100 attendees can join
! With CENTRI\, it's easy to develop advanced security in
to your next project or 'thing'. In this webinar we'll show you how our pu
rpose-built solution performs faster\, operates more efficiently\, and is
the simplest way to add advanced security to your IoT project. Register here. In this webinar we will cover: - Why the IoT needs a diffe
rent kind of security
- What a purpose-built solution should featu
re
- The pitfalls of open source and proprietary security options
for IoT
- How IoTAS secures your IoT data and devices
There will also be a chance for the audience to ask questions. 1 live attendee will have a chance to win a set of Bose headphones! &nbs
p\;  \;  \;  \;  \;  \;  \;  \;  \; \;
 \;  \;  \;  \; <
a href='http://go.questexweb.com/gt0ApuQ0u10yQF0eM00dRoW' target='_blank'
data-saferedirecturl='https://www.google.com/url?hl=pt-BR&\;q=http://go
.questexweb.com/gt0ApuQ0u10yQF0eM00dRoW&\;source=gmail&\;ust=1481369
095265000&\;usg=AFQjCNHZXKve2K7Vn0jMV-EWXnUYTrndgA'>
CATEGORIES:Education
UID:20161209T1144050Z-511394-1160@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170523T110000
DTEND;TZID=America/Sao_Paulo:20170523T120000
SUMMARY:From safety ADAS to stunning HMI concepts
DESCRIPTION:Solutions for Architecture and real-time software for the auton
omous future Our relationship towards cars is changing fast and comprehens
ively. In-vehicle comfort and mobility services\, in-vehicle infotainment\
, driver-to-car and car-to-infrastructure connectivity will be affecting t
he drivers experience significantly. On the road to autonomous vehicle\, t
he drivers’ role is changing from driving to supervision. Key challenges o
f engineering are the flexibility of embedded systems to connect next-gene
ration communication technologies\, the balance between comfort and safe-d
riving software features and real-time software solutions. The webinar wil
l provide answers to the following questions. What are challenges for el
ectronic & software architectures in the autonomous future? How do I predi
ct and verify Ethernet & Autosar-adaptive timing? In which way converge sa
fety ADAS and stunning HMI concepts? How does remote diagnostic\, over-air
updates and security influence architecture and real-time software engine
ering? PLEASE NOTICE: upon registration\, it may take half a day to recei
ve a confirmation e-mail. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Solutions for Architecture and real-time so
ftware for the autonomous future Our relationship towards cars is
changing fast and comprehensively. In-vehicle comfort and mobility service
s\, in-vehicle infotainment\, driver-to-car and car-to-infrastructure conn
ectivity will be affecting the drivers experience significantly. On the ro
ad to autonomous vehicle\, the drivers&rsquo\; role is changing from drivi
ng to supervision. Key challenges of engineering are the flexibility of em
bedded systems to connect next-generation communication technologies\, the
balance between comfort and safe-driving software features and real-time
software solutions. The webinar will provide answers to the following ques
tions.  \; - What are challenges for electronic &\; so
ftware architectures in the autonomous future?
- How do I predict
and verify Ethernet &\; Autosar-adaptive timing?
- In which way
converge safety ADAS and stunning HMI concepts?
- How does remote
diagnostic\, over-air updates and security influence architecture and rea
l-time software engineering? \;
PLEASE NOTICE: upon re
gistration\, it may take half a day to receive a confirmation e-mail.
 \; REGISTER
CATEGORIES:Education
UID:20170428T1634490Z-511394-1354@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170522T110000
DTEND;TZID=America/Sao_Paulo:20170522T120000
SUMMARY:Safety and security\, the future for assisted and autonomous drivin
g
DESCRIPTION:It is no secret that a car now contains more than one hundred m
illion lines of code. Who can live without cruise control\, speed assistan
ce\, rear cameras and all the other features that are now widely available
? However\, these features have a dramatic impact on the rising complexity
of embedded software and provide functionality where driver and passenger
safety must remain paramount. The car of the future will be even smarter\
; connected\, with ADAS (Advanced Driver Assistance Systems)\, autonomous
and even self-driving. In this webinar\, we will: Examine the implication
s for developing assisted and autonomous driving applications Explain why
these applications should be regarded as safety-critical systems and there
fore must be guided by industry standards such as ISO 26262 and MISRA Prov
ide examples of software successes and failures within automotive especial
ly autonomous cars Focus on how to standardize processes to ensure the car
is both safe and reliable. PLEASE NOTICE: upon registration\, it may take
half a day to receive a confirmation e-mail. REGISTER
X-ALT-DESC;FMTTYPE=text/html: It is no secret that a car now contains more tha
n one hundred million lines of code. Who can live without cruise control\,
speed assistance\, rear cameras and all the other features that are now w
idely available? However\, these features have a dramatic impact on the ri
sing complexity of embedded software and provide functionality where drive
r and passenger safety must remain paramount. The car of the future will b
e even smarter\; connected\, with ADAS (Advanced Driver Assistance Systems
)\, autonomous and even self-driving. \; In this webinar\, we
will: - Examine the implications for developing assisted and
autonomous driving applications
- Explain why these applications s
hould be regarded as safety-critical systems and therefore must be guided
by industry standards such as ISO 26262 and MISRA
- Provide exampl
es of software successes and failures within automotive especially autonom
ous cars
- Focus on how to standardize processes to ensure the car
is both safe and reliable.
PLEASE NOTICE: upon registrati
on\, it may take half a day to receive a confirmation e-mail. REGISTER
CATEGORIES:Education
UID:20170428T1632310Z-511394-1353@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170419T140000
DTEND;TZID=America/Sao_Paulo:20170419T150000
SUMMARY:Considerations for 802.11ax Device Testing: High Efficiency Wireles
s Testing
DESCRIPTION:Overview: Although the creation of new wireless standards pro
mises many benefits to us as consumers – they introduce new design and tes
t challenges. One exciting new technology is 802.11ax\, also known as Hig
h-Efficiency Wi-Fi(HEW). HEW is an evolution to 802.11ac\, and is expected
to become a mainstream Wi-Fi technology by 2019. Designed to deliver bett
er Wi-Fi performance in outdoor environments HEW uses several technologies
that are new to Wi-Fi including narrower sub-carrier spacing\, higher-ord
er modulation schemes (1024-QAM)\, and Orthogonal Frequency Division Multi
ple Access (OFDMA). In this presentation we will provide a basic overview
of the new features of 802.11ax\, compare the standard to 802.11ac\, and e
xplain several practical considerations that it introduces for RF design a
nd test. » Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview:  \; | Altho
ugh the creation of new wireless standards promises many benefits to us as
consumers &ndash\; they introduce new design and test challenges.  \;
One exciting new technology is 802.11ax\, also known as High-Efficiency Wi
-Fi(HEW). HEW is an evolution to 802.11ac\, and is expected to become a ma
instream Wi-Fi technology by 2019. Designed to deliver better Wi-Fi perfor
mance in outdoor environments HEW uses several technologies that are new t
o Wi-Fi including narrower sub-carrier spacing\, higher-order modulation s
chemes (1024-QAM)\, and Orthogonal Frequency Division Multiple Access (OFD
MA). In this presentation we will provide a basic overview of the new feat
ures of 802.11ax\, compare the standard to 802.11ac\, and explain several
practical considerations that it introduces for RF design and test. |
»\; Register Today |  \;
p>
CATEGORIES:Education
UID:20170406T1227420Z-511394-1301@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170503T130000
DTEND;TZID=America/Sao_Paulo:20170503T140000
SUMMARY:Add Smart Sensing Functions Without Blowing Up Your BOM
DESCRIPTION: Learn how to add intelligence and sensing to discrete functio
ns with cost effective microcontrollers that minimize the impact on the bi
ll of materials. Quickly and easily implement smart functions such as a pr
ogrammable comparator set to trigger a UART data packet on change of state
or a smart temperature sensor interface which adds programmable hysteresi
s or variable timing to a fan controller. These examples and more will be
shared in this session on Texas Instruments MSP430 Value Line Sensing MCUs
that integrate peripherals for cost sensitive applications. Speaker: Dave
Smith\, MSP430 FRAM Product Marketing Manager\, Texas Instruments Moderat
or: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| |
Learn how to add intelligence and sensing to discrete functions with
cost effective microcontrollers that minimize the impact on the bill of ma
terials. Quickly and easily implement smart functions such as a programmab
le comparator set to trigger a UART data packet on change of state or a sm
art temperature sensor interface which adds programmable hysteresis or var
iable timing to a fan controller. These examples and more will be shared i
n this session on Texas Instruments MSP430 Value Line Sensing MCUs that in
tegrate peripherals for cost sensitive applications. | Spe
aker: Dave Smith\, MSP430 FRAM Product Marketing Manager\,
Texas Instruments Moderator: 
\; Curt Schwaderer\, OpenSystems Media | REGISTER NOW |
table> |  \;
CATEGORIES:Education
UID:20170406T1229040Z-511394-1302@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170221T130000
DTEND;TZID=America/Sao_Paulo:20170221T140000
SUMMARY:Cut Your Simulation Runtime in Half (and More!)—Adopting and Using
VCS 2017.03 with Cheetah Fine-grained Parallelism
DESCRIPTION: Web event: Cut Your Simulation Runtime in Half (and More!) –
Adopting and Using VCS 2017.03 with Cheetah Fine-Grained Parallelism Techn
ology Date: February 21\, 2017 Time:10:00 AM PST Duration: 60 minutes Redu
cing simulation runtime by large factors is the holy grail for almost ever
y verification engineer—being able to turn around regression results much
more quickly\, or being able to manage the IT spending needed to keep up w
ith simulation demand are care-abouts at the top of every list. VCS 2017.0
3 is the first production release of Synopsys’ breakthrough Cheetah Fine-G
rained Parallelism (FGP) simulation performance technology. Cheetah FGP te
chnology provides the ability for verification users to see significant pe
rformance gains using existing X86 servers\, and with no need for design c
hanges or modifications to VCS simulation environments. We’ll talk about t
he following in this webinar – Discuss the considerations and challenges f
or enabling parallelism in advanced simulation flows Describe the Cheetah
Fine-Grained Parallelism technology\, and also how it takes advantage of r
apid advancements in Many Core processor architectures Why it is essential
that FGP support is natively integrated into/and an inherent part of simu
lation engines and advanced verification flows Best practices for gaining
full advantage from VCS 2017.03 FGP\, and example use cases Cheetah FGP re
presents the next generation of simulation technology natively available f
or all VCS users in our upcoming 2017.03 release. Come see how Cheetah can
help you with your verification challenges.
X-ALT-DESC;FMTTYPE=text/html:
 \; | Web event: Cut Your Simulation Runtime in Half (and More!) &n
dash\; Adopting and Using VCS 2017.03 with Cheetah Fine-Grained Parallelis
m Technology Date: February 21\, 2017 Time:10:00 AM PST Duration: 60 minutes Reducing simulation runtime by large factors is the holy grail
for almost every verification engineer&mdash\;being able to turn around re
gression results much more quickly\, or being able to manage the IT spendi
ng needed to keep up with simulation demand are care-abouts at the top of
every list. VCS 2017.03 is the first production release of Sy
nopsys&rsquo\; breakthrough Cheetah Fine-Grained Parallelism (FGP) simulat
ion performance technology. Cheetah FGP technology provides the ability fo
r verification users to see significant performance gains using existing X
86 servers\, and with no need for design changes or modifications to VCS s
imulation environments. We&rsquo\;ll talk about the following
in this webinar &ndash\; - Discuss the considerations and challe
nges for enabling parallelism in advanced simulation flows
- Descr
ibe the Cheetah Fine-Grained Parallelism technology\, and also how it take
s advantage of rapid advancements in Many Core processor architectures
- Why it is essential that FGP support is natively integrated into/an
d an inherent part of simulation engines and advanced verification flows
li>
- Best practices for gaining full advantage from VCS 2017.03 FGP\,
and example use cases
Cheetah FGP represents the next g
eneration of simulation technology natively available for all VCS users in
our upcoming 2017.03 release. Come see how Cheetah can help you with your
verification challenges.
|
CATEGORIES:Education
UID:20170208T1631340Z-511394-1186@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170221T150000
DTEND;TZID=America/Sao_Paulo:20170221T160000
SUMMARY:LED sources maximize growth potential in horticulture
DESCRIPTION:Horticultural lighting is heating up the SSL market due to its
ability to maximize crop yields\, whether the light is produced in the vis
ible or UV spectrum. In this webcast\, DNA Group is prepared to explain ho
w UV LEDs can add to the benefits that are already proven in LED-based hor
ticultural lighting\, targeting spectral energy to plant needs and reducin
g energy usage relative to HID lighting. And CSA Group will light up your
knowledge of SSL metrics and how to leverage LED performance to influence
growing operations. What You'll Learn: Benefits of exposing plants to
UVB light Is it safe? Is it affordable? What can it do for cannabis? Fruit
/veg? Ornamental? What research is there on this? Who Should Attend: Pro
duct Development Sourcing / Procurement Manufacturing / Engineers Regist
er
X-ALT-DESC;FMTTYPE=text/html:Horticultural lighting is hea
ting up the SSL market due to its ability to maximize crop yields\, whethe
r the light is produced in the visible or UV spectrum.
In this
webcast\, DNA Group is prepared to explain how UV LEDs can add to the bene
fits that are already proven in LED-based horticultural lighting\, targeti
ng spectral energy to plant needs and reducing energy usage relative to HI
D lighting. And CSA Group will light up your knowledge of SSL metrics and
how to leverage LED performance to influence growing operations.
span>  \;
What You'll Learn:  \; - Benefits of exposing plants
to UVB light
- Is it safe?
- Is it affordable?
- What can it do for cannabis? Fruit/veg?
Ornamental?
- What research is there on this?
 \; Who Sho
uld Attend:
- Product Development
- Sourcing / Procurement
- Manufacturin
g / Engineers
 \;
Register
span>
CATEGORIES:Education
UID:20170208T1607380Z-511394-1176@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170215T150000
DTEND;TZID=America/Sao_Paulo:20170215T160000
SUMMARY:RF Converters Enable Multiple Wideband Applications
DESCRIPTION:Overview: Sponsored by Analog Devices and Arrow. This webcast
will focus on the advantages and flexibility that RF data converters brin
g to a radio designer\, especially with the higher data rates that recent
advances in converter technology have enabled. Radio applications such as
wireless infrastructure\, cable headend transmitters\, radar\, and instrum
entation can all be implemented and simplified through the use of RF conve
rters. Examples of transmitters using RF DACs will be shown. Who Should A
ttend: Radio system designers\, engineers\, and radio system architects wh
o define and design radios in the RF spectrum.
X-ALT-DESC;FMTTYPE=text/html:Overview: Sponsored by Analog Devices and Arrow.  \; \;This webcast will foc
us on the advantages and flexibility that RF data converters bring to a ra
dio designer\, especially with the higher data rates that recent advances
in converter technology have enabled. Radio applications such as wireless
infrastructure\, cable headend transmitters\, radar\, and instrumentation
can all be implemented and simplified through the use of RF converters. Ex
amples of transmitters using RF DACs will be shown. \;
Who Should Attend: Radio system designers\, engineers\, and radio system architects who de
fine and design radios in the RF spectrum.
|  \; |  \; |
| <
/table>  \; |  
\;
CATEGORIES:Education
UID:20170208T1604360Z-511394-1175@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170309T170000
DTEND;TZID=America/Sao_Paulo:20170309T180000
SUMMARY:IoT Panel - An Inside Look at IoT Platforms
DESCRIPTION: IoT known for its unique architecture involving sensors\, e
mbedded devices & gateways\, and data center/cloud applications.Once the a
rchitecture is understood\, defining platforms that implement the architec
ture is the next logical step toward effective deployment of a variety of
IoT applications. Often platforms are thought of simply as a framework tha
t provides code and interfaces for IoT development. However\, the IoT plat
form must extend to ecosystem\, manageability\, security\, and tools in or
der to address key IoT development challenges. Join us as IoT experts outl
ine key capabilities of emerging IoT platforms and important connectivity\
, manageability\, ecosystem\, and development tools needed for successful
IoT development. Sponsors: Ayla Networks\, Parasoft\, PTC and RTI Modera
tor: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;  \; IoT known for its unique architecture involving sensors\, e
mbedded devices &\; gateways\, and data center/cloud applications.Once
the architecture is understood\, defining platforms that implement the arc
hitecture is the next logical step toward effective deployment of a variet
y of IoT applications. Often platforms are thought of simply as a framewor
k that provides code and interfaces for IoT development. However\, the IoT
platform must extend to ecosystem\, manageability\, security\, and tools
in order to address key IoT development challenges. Join us as IoT
experts outline key capabilities of emerging IoT platforms and important
connectivity\, manageability\, ecosystem\, and development tools needed fo
r successful IoT development.  \; | Sponsors: Ay
la Networks\, Parasoft\, PTC and RTI Moderator: \; Curt Schwaderer\, OpenSystems Media | |
CATEGORIES:Education
UID:20170208T1612590Z-511394-1178@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170214T170000
DTEND;TZID=America/Sao_Paulo:20170214T180000
SUMMARY:New Technologies to Address Wideband Signal Generation and Analysis
DESCRIPTION:Why this wecast is important Worldwide demand for wireless devi
ces has been explosive\, driving wireless providers to seek higher operati
ng frequencies\, wider transmission bandwidths\, and innovative high-effic
iency modulation techniques. As applications migrate from crowded microw
ave bands to sparsely utilized mm-wave spectrum to accommodate wider-bandw
idth modulation schemes and higher data rates\, engineers must confront si
gnificant technical challenges\, including difficult-to-achieve mm-wave po
wer levels and RF power added efficiency (PAE)\, frequency and phase stabi
lity\, and phase noise. Similarly\, engineers must deal with amplitude and
phase equalization across GHz-wide operating channels\, digital modulatio
n and demodulation performance\, as revealed through parameters like Error
Vector Magnitude (EVM) and Adjacent-Channel Power Ratio (ACPR). This We
binar addresses the emerging challenges posed by wide band\, high-frequenc
y signal generation and analysis applications and explores cutting-edge Te
st & Measurement solutions like VSAs operating up to 86 GHz (without exter
nal mixers) and up to 2 GHz instantaneous bandwidth\; and VSG’s combining
real-time baseband coding with phase-locking for precise amplitude and pha
se control. Attendees will emerge with a better understanding of the chall
enges and trends driving wireless technologies\, and the Test & Measuremen
t community’s responses to them. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Why this wecast is important
p> Worldwide demand for wireless devices
has been explosive\, driving wireless providers to seek higher operating
frequencies\, wider transmission bandwidths\, and innovative high-efficien
cy modulation techniques.  \; As applications migrate from crowded m
icrowave bands to sparsely utilized mm-wave spectrum to accommodate wider-
bandwidth modulation schemes and higher data rates\, engineers must confro
nt significant technical challenges\, including difficult-to-achieve mm-wa
ve power levels and RF power added efficiency (PAE)\, frequency and phase
stability\, and phase noise. Similarly\, engineers must deal with amplitud
e and phase equalization across GHz-wide operating channels\, digital modu
lation and demodulation performance\, as revealed through parameters like
Error Vector Magnitude (EVM) and Adjacent-Channel Power Ratio (ACPR).
 \; This Webinar addresses the emerging challenges posed by wide band\,
high-frequency signal generation and analysis applications and explores cu
tting-edge Test &\; Measurement solutions like VSAs operating up to 86
GHz (without external mixers) and up to 2 GHz instantaneous bandwidth\; an
d VSG&rsquo\;s combining real-time baseband coding with phase-locking for
precise amplitude and phase control. Attendees will emerge with a better u
nderstanding of the challenges and trends driving wireless technologies\,
and the Test &\; Measurement community&rsquo\;s responses to them.
 \; REGISTER NOW
CATEGORIES:Education
UID:20170208T1629380Z-511394-1185@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170209T140000
DTEND;TZID=America/Sao_Paulo:20170209T150000
SUMMARY:Choosing the Right Platform for your IIoT Strategy
DESCRIPTION: Platform Strategy for the IIoT What is an Internet of Thing
s (IoT) platform and why does it matter for your IoT strategy? What requir
ements should you look for to best fit your unique enterprise IoT solution
s? Join us for a live webcast to: Explore the power of an effective IoT pl
atform and how to assess which platform is right for your organization Lea
rn why ThingWorx was identified as the key technology and how they are hel
ping organizations innovate and enhance the productivity and outcomes of b
usiness or IT processes Get an overview of the ThingWorx platform and its
unique approach to connectivity\, analytics\, user interface design\, and
augmented reality Register Now!
X-ALT-DESC;FMTTYPE=text/html:  \;
 \; Platform Strategy for the IIoT <
br /> What is an Internet of Things (IoT) platform and why does it matter
for your IoT strategy? What requirements should you look for to best fit y
our unique enterprise IoT solutions? Join us for a live webcast
to: - Explore the power of an effective IoT platform and how
to assess which platform is right for your organization
- Learn w
hy ThingWorx was identified as the key technology and how they are helping
organizations innovate and enhance the productivity and outcomes of busin
ess or IT processes
- Get an overview of the ThingWorx platform an
d its unique approach to connectivity\, analytics\, user interface design\
, and augmented reality
 \;  \; | | <
a style='color: #ffffff\; text-decoration: none\; padding: 12px 10px\; dis
play: block\;' href='http://email.opensystemsmedia.com/ujF000zY850y0U0204y
INv0' target='_blank'>Register Now! | |  \; |
CATEGORIES:General
UID:20170208T1658580Z-511394-1196@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161213T130000
DTEND;TZID=America/Sao_Paulo:20161213T140000
SUMMARY:Prototyping Home Automation Concepts - Day 2
DESCRIPTION:In the 2015 State of the Smart Home Report\, it was presented t
hat “Consumers want smart devices that automate themselves” as one of the
most interesting concepts in home automation. In this week long webinar co
urse\, participants will explore the inner workings of smart home technolo
gies through hands-on prototyping and experimentation of home automation c
oncepts. The Raspberry Pi and the Grove Pi+ kit will be the main developme
nt platforms used in prototyping home automation concepts for security ala
rms\, digital door locks\, temperature/humidity monitoring\, and small ele
ctric appliance controls. Also\, Velocio ACE PLC and littleBits electronic
s will provide additional resource materials to be used in prototyping hom
e automation concepts in this week long course\, as well. December 13 - Da
y 2: Home Security Basics\, Example Systems/Devices\, Project: Ultrasonic
Detection and Alarm Device Basics of Home Security and devices will be exp
lained to CEC participants followed by a hands-on project discussion of an
Ultrasonic Detection and Alarm Device.
X-ALT-DESC;FMTTYPE=text/html: In the 2015 S
tate of the Smart Home Report\, it was presented that &ldquo\;Consumers wa
nt smart devices that automate themselves&rdquo\; as one of the most inter
esting concepts in home automation.
In this week long webinar course\, participants will explore the inner wor
kings of smart home technologies through hands-on prototyping and experime
ntation of home automation concepts. The Raspberry Pi and the Grove Pi+ ki
t will be the main development platforms used in prototyping home automati
on concepts for security alarms\, digital door locks\, temperature/humidit
y monitoring\, and small electric appliance controls. Also\, Velocio ACE P
LC and littleBits electronics will provide additional resource materials t
o be used in prototyping home automation concepts in this week long course
\, as well. -
Basics of Home Security and devices will be explained
to CEC participants followed by a hands-on project discussion of an Ultra
sonic Detection and Alarm Device.
CATEGORIES:Education
UID:20161209T1204320Z-511394-1164@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161216T130000
DTEND;TZID=America/Sao_Paulo:20161216T140000
SUMMARY:Prototyping Home Automation Concepts - Day 5
DESCRIPTION:In the 2015 State of the Smart Home Report\, it was presented t
hat “Consumers want smart devices that automate themselves” as one of the
most interesting concepts in home automation. In this week long webinar co
urse\, participants will explore the inner workings of smart home technolo
gies through hands-on prototyping and experimentation of home automation c
oncepts. The Raspberry Pi and the Grove Pi+ kit will be the main developme
nt platforms used in prototyping home automation concepts for security ala
rms\, digital door locks\, temperature/humidity monitoring\, and small ele
ctric appliance controls. Also\, Velocio ACE PLC and littleBits electronic
s will provide additional resource materials to be used in prototyping hom
e automation concepts in this week long course\, as well. December 16 - Da
y 5: PLCs and Home Automation\, Project: Programmable Timer for Small Appl
iances CEC participants will learn how to develop Home Automation devices
using a Programmable Logic Controller (PLC). In addition\, CEC participant
s will build a Programmable Timer for Small Appliances using a PLC.
X-ALT-DESC;FMTTYPE=text/html: In the 2015 S
tate of the Smart Home Report\, it was presented that &ldquo\;Consumers wa
nt smart devices that automate themselves&rdquo\; as one of the most inter
esting concepts in home automation.
In this week long webinar course\, participants will explore the inner wor
kings of smart home technologies through hands-on prototyping and experime
ntation of home automation concepts. The Raspberry Pi and the Grove Pi+ ki
t will be the main development platforms used in prototyping home automati
on concepts for security alarms\, digital door locks\, temperature/humidit
y monitoring\, and small electric appliance controls. Also\, Velocio ACE P
LC and littleBits electronics will provide additional resource materials t
o be used in prototyping home automation concepts in this week long course
\, as well.
CATEGORIES:Education
UID:20161209T1200020Z-511394-1163@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161214T130000
DTEND;TZID=America/Sao_Paulo:20161214T140000
SUMMARY:Prototyping Home Automation Concepts - Day 3
DESCRIPTION:In the 2015 State of the Smart Home Report\, it was presented t
hat “Consumers want smart devices that automate themselves” as one of the
most interesting concepts in home automation. In this week long webinar co
urse\, participants will explore the inner workings of smart home technolo
gies through hands-on prototyping and experimentation of home automation c
oncepts. The Raspberry Pi and the Grove Pi+ kit will be the main developme
nt platforms used in prototyping home automation concepts for security ala
rms\, digital door locks\, temperature/humidity monitoring\, and small ele
ctric appliance controls. Also\, Velocio ACE PLC and littleBits electronic
s will provide additional resource materials to be used in prototyping hom
e automation concepts in this week long course\, as well. December 14 – Da
y 3: Home Temperature and Humidity Monitoring\, Project: Temperature-Humid
ity Monitoring Unit and Multitasking CEC participant discussion of using I
oT techniques to monitor a home’s temperature and humidity using WiFi\, se
nsors\, and embedded controller. The hands-on project to be explored is a
Grove Pi+ Temperature-Humidity Monitoring unit.
X-ALT-DESC;FMTTYPE=text/html: In the 2015 S
tate of the Smart Home Report\, it was presented that &ldquo\;Consumers wa
nt smart devices that automate themselves&rdquo\; as one of the most inter
esting concepts in home automation.
In this week long webinar course\, participants will explore the inner wor
kings of smart home technologies through hands-on prototyping and experime
ntation of home automation concepts. The Raspberry Pi and the Grove Pi+ ki
t will be the main development platforms used in prototyping home automati
on concepts for security alarms\, digital door locks\, temperature/humidit
y monitoring\, and small electric appliance controls. Also\, Velocio ACE P
LC and littleBits electronics will provide additional resource materials t
o be used in prototyping home automation concepts in this week long course
\, as well. -
CEC participant discussion of using IoT
techniques to monitor a home&rsquo\;s temperature and humidity using WiFi
\, sensors\, and embedded controller. The hands-on project to be explored
is a Grove Pi+ Temperature-Humidity Monitoring unit.
CATEGORIES:Education
UID:20161209T1203230Z-511394-1165@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161212T130000
DTEND;TZID=America/Sao_Paulo:20161212T140000
SUMMARY:Prototyping Home Automation Concepts - Day 1
DESCRIPTION:In the 2015 State of the Smart Home Report\, it was presented t
hat “Consumers want smart devices that automate themselves” as one of the
most interesting concepts in home automation. In this week long webinar co
urse\, participants will explore the inner workings of smart home technolo
gies through hands-on prototyping and experimentation of home automation c
oncepts. The Raspberry Pi and the Grove Pi+ kit will be the main developme
nt platforms used in prototyping home automation concepts for security ala
rms\, digital door locks\, temperature/humidity monitoring\, and small ele
ctric appliance controls. Also\, Velocio ACE PLC and littleBits electronic
s will provide additional resource materials to be used in prototyping hom
e automation concepts in this week long course\, as well. December 12 - Da
y 1: Home Automation Basics\, Example Systems/Devices\, Project: Button an
d Buzzer-Grove Pi+ Introduction An introduction to Home Automation Basics
and Trends will be presented to CEC participants. Setup of the Grove Pi+ s
hield software follow by the hands-on project discussion of the Grove Pi+
Button and Buzzer device.
X-ALT-DESC;FMTTYPE=text/html: In the 2015 S
tate of the Smart Home Report\, it was presented that &ldquo\;Consumers wa
nt smart devices that automate themselves&rdquo\; as one of the most inter
esting concepts in home automation.
In this week long webinar course\, participants will explore the inner wor
kings of smart home technologies through hands-on prototyping and experime
ntation of home automation concepts. The Raspberry Pi and the Grove Pi+ ki
t will be the main development platforms used in prototyping home automati
on concepts for security alarms\, digital door locks\, temperature/humidit
y monitoring\, and small electric appliance controls. Also\, Velocio ACE P
LC and littleBits electronics will provide additional resource materials t
o be used in prototyping home automation concepts in this week long course
\, as well. -
An introduction to Home Automation Basics and Tren
ds will be presented to CEC participants. Setup of the Grove Pi+ shield so
ftware follow by the hands-on project discussion of the Grove Pi+ Button a
nd Buzzer device.
CATEGORIES:Education
UID:20161209T1200530Z-511394-1162@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170214T160000
DTEND;TZID=America/Sao_Paulo:20170214T170000
SUMMARY:Build Powerful IoT Applications Quickly with Node-RED
DESCRIPTION: Your Avnet University course is today. Title: Build Powerful
IoT Applications Quickly with Node-RED Date: Tuesday\, February 14\, 2017
Time: 2:00 PM EST Duration: 60 minutes Sponsor: Avnet Presentation Link: C
lick Here Add this event to your calendar: Click Here When you're ready\,
please click on the Presentation Link above to start the log-in process. I
f you have any problems joining\, you may access our online help files at
any time. System Setup & Compatibility Check Test the computer that you wi
ll be using the day of the virtual show and make sure you have the minimum
technical requirements to attend. Allow sufficient time prior to the even
t for this test. Test your system. To opt out of future Avnet University m
ailings\, please send an email to avnetiot@penton.com with the subject 'Un
subscribe'. Copyright 2017\, Penton. All rights reserved.
X-ALT-DESC;FMTTYPE=text/html:
<
/p>  \; Your
Avnet University course is today. <
strong>Title: Build Powerful IoT Applications Quickly with Node-R
ED Date: Tuesday\,&nb
sp\;February 14\, 2017 Time: 2:00 PM \;EST Duration: 60 minutes Sponsor: Av
net Presentation Link: Click Here
Add this event to your calendar: Click Here When you're ready\, please click on the Prese
ntation Link above to start the log-in process. If you have any problems joining\, you may access our online help files at an
y time. System Setup &\;
Compatibility Check Test the computer that you will be usin
g the day of the virtual show and make sure you have the minimum technical
requirements to attend. Allow sufficient time prior to the event for this
test. Test your s
ystem. To opt out of future
Avnet University mailings\, please send an email to avnetiot@penton.com with the subject 'Unsubscribe'. Copyright 2017\, Penton. All rights re
served.
CATEGORIES:Education
UID:20170214T1806410Z-511394-1213@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170228T140000
DTEND;TZID=America/Sao_Paulo:20170228T150000
SUMMARY: IoT Software Platforms Create New Business Value
DESCRIPTION: Transform your competitive position in the IoT market Whe
n thinking about your IoT strategy you must also think about what IoT plat
form you will use to quickly and successfully implement your solution. In
this live webcast guest speaker and industry expert Michele Pelino will di
scuss her experience with IoT platforms and some of the evaluation criteri
a she’s used to identify the top platforms available today. Scott Johnson\
, CEO and Founder of Devicify will also join us to talk about how his comp
any chose the ThingWorx platform to implement their IoT Relationship Manag
ement application During this webcast you will learn how: To get started w
ith an IoT solution quickly and confidently An IoT platform can bring adde
d value to your business The Forrester Wave™: IoT Software Platforms\, Q4
2016 Report can take your IoT decision making to the next level
X-ALT-DESC;FMTTYPE=text/html:  \; Transform your competitive posi
tion in the IoT market When thinking about your IoT strategy you m
ust also think about what IoT platform you will use to quickly and success
fully implement your solution. In this live webcast
guest speaker and industry expert Michele Pelino will discuss her experien
ce with IoT platforms and some of the evaluation criteria she&rsquo\;s use
d to identify the top platforms available today. Scott Johnson\, CEO and F
ounder of Devicify will also join us to talk about how his company chose t
he ThingWorx platform to implement their IoT Relationship Management appli
cation During this webcast you will learn how: - To g
et started with an IoT solution quickly and confidently
- An IoT p
latform can bring added value to your business
- The Forrester Wav
e&trade\;: IoT Software Platforms\, Q4 2016 Report can take your IoT decis
ion making to the next level
 \; | | |  \;
CATEGORIES:Education
UID:20170214T1848290Z-511394-1214@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170221T150000
DTEND;TZID=America/Sao_Paulo:20170221T160000
SUMMARY:USB Type-C Design Implementations - Overcoming Test Challenges
DESCRIPTION: Event Date: Tuesday\, February 21\, 2017 Event Time: 1:00 PM
ET | 10:00 AM PT Sponsored by: Keysight Technologies Hosted by: Electronic
Design and Microwaves & RF REGISTER NOW Why this webcast is importa
nt The USB Type-C ecosystem includes technologies like USB 3.1 Gen 2\, USB
-PD\, MHL\, Thunderbolt 3\, DisplayPort\, and HDMI Alt Mode. As the USB Ty
pe-C ecosystem moves into the main-stream with worldwide adoption\, there
are significant learnings from early silicon and system implementations. T
his session will illustrate the most common challenges and issues with imp
lementing USB Type-C\, and solutions to properly characterize and validate
your designs. Who should attend Engineers\, project managers and tech
nicians who need to test USB-C designs.
X-ALT-DESC;FMTTYPE=text/html:  \; |
Event Date: 
\;Tuesday\, February 21\, 2017 Event Time: \;
strong>1:00 PM ET | 10:00 AM PT Sponsored by: \;Keysight Technologies Hosted by: \;Electroni
c Design and Microwaves &\; RF |  \; |
| |  \; |
 \; |
Why this webcast is
important The USB Type-C ecosystem includes technologies li
ke USB 3.1 Gen 2\, USB-PD\, MHL\, Thunderbolt 3\, DisplayPort\, and HDMI A
lt Mode. As the USB Type-C ecosystem moves into the main-stream with world
wide adoption\, there are significant learnings from early silicon and sys
tem implementations. This session will illustrate the most common challeng
es and issues with implementing USB Type-C\, and solutions to properly cha
racterize and validate your designs. | |
|  \; |  \
; | Who should attend Engineers\, project managers
and technicians who need to test USB-C designs. |
table>
CATEGORIES:Education
UID:20170215T1548520Z-511394-1219@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170216T160000
DTEND;TZID=America/Sao_Paulo:20170216T170000
SUMMARY:Proteja o seu Sistema Embarcado com Prove & Run e Toradex
DESCRIPTION: Olá\, Registre-se gratuitamente para nosso webinar 'Prote
ja o seu Sistema Embarcado com Prove & Run e Toradex'. A internet das Cois
as está trazendo novos desafios que precisam ser endereçados antes que os
equipamentos sejam colocados em alta escala em campo. Neste webinar nós mo
straremos como você pode utilizar funcionalidade de segurança incluídas no
hardware como ARM TrustZone e High Assurance boot de maneira fácil. Prove
& Run irá mostrar como você pode adicionar funcionalidade altamente segur
as em um sistema desprotegido em Linux. Nós iremos demonstrar como adicion
ar um firewall\, open VPN e atualizações seguras de firmware. Combinando e
ssas técnicas podemos assegurar à qualquer dispositivo IoT um alto nível d
e segurança com custos aceitáveis. Nós iremos demonstrar soluções no Colib
ri iMX7 utilizando ARM TrustZone e o High Assurance Boot do SoC i.MX7. Po
ntos principais do webinar incluem: Utilizar ARM TrustZone de maneira se
gura Entender as maneiras de isolar software seguros e não-seguros Verific
ar a implementação de um update de firmware\, VPN e firewall Atente-se: Nó
s teremos uma seção de perguntas e respostas no final da apresentação. S
audações\, Time da Toradex
X-ALT-DESC;FMTTYPE=text/html: \; Olá\;\,  \;  \;
| Registre-se gr
atuitamente para nosso webinar 'Proteja o se
u Sistema Embarcado com Prove &\; Run e Toradex'. A internet das Coisas est&
aacute\; trazendo novos desafios que precisam ser endereç\;ados ante
s que os equipamentos sejam colocados em alta escala em campo. Neste webin
ar nó\;s mostraremos como você\; pode utilizar funcionalidade d
e seguranç\;a incluí\;das no hardware como ARM TrustZone e Hig
h Assurance boot de maneira fá\;cil. Prove &\; Run i
rá\; mostrar como você\; pode adicionar funcionalidade altament
e seguras em um sistema desprotegido em Linux. Nó\;s iremos demonstr
ar como adicionar um firewall\, open VPN e atualizaç\;õ\;es se
guras de firmware. Combinando essas té\;cnicas podemos assegurar &ag
rave\; qualquer dispositivo IoT um alto ní\;vel de seguranç\;a
com custos aceitá\;veis. Nó\;s iremos demonstrar soluç\
;õ\;es no Colibri iMX7 utilizando ARM TrustZone e o High Assurance B
oot do SoC i.MX7. |  \;Pontos
principais do webinar incluem:  \; |
- Utilizar ARM TrustZone de maneira segura
- Entender as maneira
s de isolar software seguros e nã\;o-seguros
- Verificar a i
mplementaç\;ã\;o de um update de firmware\, VPN e firewall
| Atente-se: Nó\;s teremos uma se&ccedi
l\;ã\;o de perguntas e respostas no final da apresentaç\;&atil
de\;o. |  \; | Saudaç\;õ\;es\, \; Time da Tora
dex |
CATEGORIES:General
UID:20170215T1552020Z-511394-1220@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161215T130000
DTEND;TZID=America/Sao_Paulo:20161215T140000
SUMMARY:Prototyping Home Automation Concepts - Day 4
DESCRIPTION:In the 2015 State of the Smart Home Report\, it was presented t
hat “Consumers want smart devices that automate themselves” as one of the
most interesting concepts in home automation. In this week long webinar co
urse\, participants will explore the inner workings of smart home technolo
gies through hands-on prototyping and experimentation of home automation c
oncepts. The Raspberry Pi and the Grove Pi+ kit will be the main developme
nt platforms used in prototyping home automation concepts for security ala
rms\, digital door locks\, temperature/humidity monitoring\, and small ele
ctric appliance controls. Also\, Velocio ACE PLC and littleBits electronic
s will provide additional resource materials to be used in prototyping hom
e automation concepts in this week long course\, as well. December 15 - Da
y 4: Introduction to littleBits eModules\, Smart Home Kit\, Project: Coffe
e Control Unit An introduction to littleBits eModules and rapid build of H
ome Automation concepts will be presented to CEC participants. The hands-o
n project discussion will be on building a Coffee Control Unit using littl
eBits eModules and a smartphone.
X-ALT-DESC;FMTTYPE=text/html:In the 2015 S
tate of the Smart Home Report\, it was presented that &ldquo\;Consumers wa
nt smart devices that automate themselves&rdquo\; as one of the most inter
esting concepts in home automation.
In this week long webinar course\, participants will explore the inner wor
kings of smart home technologies through hands-on prototyping and experime
ntation of home automation concepts. The Raspberry Pi and the Grove Pi+ ki
t will be the main development platforms used in prototyping home automati
on concepts for security alarms\, digital door locks\, temperature/humidit
y monitoring\, and small electric appliance controls. Also\, Velocio ACE P
LC and littleBits electronics will provide additional resource materials t
o be used in prototyping home automation concepts in this week long course
\, as well. -
An introduction to littleBits eModules and rapid build of Home Automatio
n concepts will be presented to CEC participants. The hands-on project dis
cussion will be on building a Coffee Control Unit using littleBits eModule
s and a smartphone.
CATEGORIES:Education
UID:20161209T1205320Z-511394-1166@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170424T160000
DTEND;TZID=America/Sao_Paulo:20170424T170000
SUMMARY:Implementing IPv6 Over Bluetooth Low Energy - Day 1
DESCRIPTION:his lecture series will focus on implementing a small IPv6 netw
ork using Bluetooth Low-Energy devices. IPv6 connectivity will be demonstr
ated using the IPv6 embedded development tools that are currently availabl
e. April 24 - Day 1: Linux Essentials The Linux operating system is the ke
y to this lecture. Today’s unlikely co-star is an off-the-shelf Raspberry
Pi\, which will be configured as an IPv6 router. Various open-source tools
will be introduced and used to assist in the adaptation of the Raspberry
Pi hardware.
X-ALT-DESC;FMTTYPE=text/html:his lecture s
eries will focus on implementing a small IPv6 network using Bluetooth Low-
Energy devices. IPv6 connectivity will be demonstrated using the IPv6 embe
dded development tools that are currently available. -
April 24 - Day 1: Linux
Essentials
The Linux operating system is the key to this lecture. Today&rsquo\;s unli
kely co-star is an off-the-shelf Raspberry Pi\, which will be configured a
s an IPv6 router. Various open-source tools will be introduced and used to
assist in the adaptation of the Raspberry Pi hardware.
CATEGORIES:Education
UID:20170420T1710300Z-511394-1331@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170425T160000
DTEND;TZID=America/Sao_Paulo:20170425T170000
SUMMARY:Implementing IPv6 Over Bluetooth Low Energy - Day 2
DESCRIPTION:his lecture series will focus on implementing a small IPv6 netw
ork using Bluetooth Low-Energy devices. IPv6 connectivity will be demonstr
ated using the IPv6 embedded development tools that are currently availabl
e. April 25 - Day 2: IPv6 Nodes From an embedded point of view\, IPv6 is t
he launch pad for an unlimited number of individually addressable IoT devi
ces. Today’s lecture will feature Nordic Semiconductor’s nRF5 SoC. Utilizi
ng development tools from Nordic Semiconductor\, Segger and Keil\, out-of-
the-box nRF5 devices will be configured as IPv6 nodes.
X-ALT-DESC;FMTTYPE=text/html: his lecture s
eries will focus on implementing a small IPv6 network using Bluetooth Low-
Energy devices. IPv6 connectivity will be demonstrated using the IPv6 embe
dded development tools that are currently available. - From an embedded point of
view\, IPv6 is the launch pad for an unlimited number of individually addr
essable IoT devices. Today&rsquo\;s lecture will feature Nordic Semiconduc
tor&rsquo\;s nRF5 SoC. Utilizing development tools from Nordic Semiconduct
or\, Segger and Keil\, out-of-the-box nRF5 devices will be configured as I
Pv6 nodes. \;
CATEGORIES:Education
UID:20170420T1712070Z-511394-1332@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170426T160000
DTEND;TZID=America/Sao_Paulo:20170426T170000
SUMMARY:Implementing IPv6 Over Bluetooth Low Energy - Day 3
DESCRIPTION:his lecture series will focus on implementing a small IPv6 netw
ork using Bluetooth Low-Energy devices. IPv6 connectivity will be demonstr
ated using the IPv6 embedded development tools that are currently availabl
e. April 26 - Day 3: IPv6 Essentials Everything IPv6 that relates to the n
RF5 nodes and Raspberry Pi router will be discussed. IPv6 will be examined
from the viewpoint of the Nordic Semiconductor BLE 6loWPAN stack. Basic I
Pv6 operations common to all IPv6 devices will also be included in the dis
cussion.
X-ALT-DESC;FMTTYPE=text/html: his lecture s
eries will focus on implementing a small IPv6 network using Bluetooth Low-
Energy devices. IPv6 connectivity will be demonstrated using the IPv6 embe
dded development tools that are currently available. -
Everything IPv6 that relates to the nRF5 nodes
and Raspberry Pi router will be discussed. IPv6 will be examined from the
viewpoint of the Nordic Semiconductor BLE 6loWPAN stack. Basic IPv6 opera
tions common to all IPv6 devices will also be included in the discussion.<
/div>
CATEGORIES:Education
UID:20170420T1712540Z-511394-1333@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170413T140000
DTEND;TZID=America/Sao_Paulo:20170413T150000
SUMMARY:Active Safety: Driven by advances in Smart Actuators
DESCRIPTION:Overview: Wireless charging will heavily influence our daily
lives in the coming years and will be used to charge various applications
such as smartphones\, wearables\, tablets\, notebooks\, multicopter\, serv
ice robots etc. The market is expected to grow by around 42% over the next
five years. Today\, engineers look for semiconductor solutions that over
come a wide range of common wireless power transfer challenges. First and
foremost\, they require efficient and easy-to-design transmitter solutions
. Smart heat management is also essential to keep the surface and the tra
nsmitter at a comfortable temperature and not heat up the battery of the r
eceiving device. A small footprint is highly valued because it suits devic
es that are small in size\, especially wearables. By fitting your designs
with a high power rating you can ensure faster charging speed\, which will
please consumers. At Infineon\, we help you master your design challenges
with our broad selection of semiconductors to address the leading standar
ds (inductive and resonant) in the market. Infineon enables customer to a
chieve a performance comparable to GaN using mature and reliable Si techno
logy. We are working as well on medium voltage GaN solutions and will brin
g them to the market in the coming years on the same maturity level of Si
devices and at a significant performance increase. Get an overview about
Infineon's offerings and join the webinar! Attend this webinar to learn mo
re about: What do typical Braking and EPS architectures look like? What ar
e the functions of these individual blocks? Infineon solutions available t
oday for Braking and EPS What new safety feature sets are being introduced
as a result of advances in ADAS sensing technologies How Infineon compone
nt roadmaps help to drive advances in Active Safety » Register Today
X-ALT-DESC;FMTTYPE=text/html: Overview:  \; | Wirel
ess charging will heavily influence our daily lives in the coming years an
d will be used to charge various applications such as smartphones\, wearab
les\, tablets\, notebooks\, multicopter\, service robots etc. The market i
s expected to grow by around 42% over the next five years. \; Today\, engineers look for semiconductor solutions that overcome a wi
de range of common wireless power transfer challenges. First and foremost\
, they require efficient and easy-to-design transmitter solutions. Smart h
eat management is also essential to keep the surface and the  \;transm
itter at a comfortable temperature and not heat up the battery of the rece
iving device. A small footprint is highly valued because it suits devices
that are small in size\, especially wearables. By fitting your designs wit
h a high power rating you can ensure faster charging speed\, which will pl
ease consumers. At Infineon\, we help you master your design
challenges with our broad selection of semiconductors to address the leadi
ng standards (inductive and resonant) in the market. \; I
nfineon enables customer to achieve a performance comparable to GaN using
mature and reliable Si technology. We are working as well on medium voltag
e GaN solutions and will bring them to the market in the coming years on t
he same maturity level of Si devices and at a significant performance incr
ease. \; Get an overview about Infineon's offerings and j
oin the webinar! | A
ttend this webinar to learn more about: | - What do typical Braking and EPS architectures lo
ok like?
- What are the functions of these individual blocks?
- Infineon solutions available today for Braking and EPS
- Wha
t new safety feature sets are being introduced as a result of advances in
ADAS sensing technologies
- How Infineon component roadmaps help t
o drive advances in Active Safety
| »\; Register Today |  \;
CATEGORIES:Education
UID:20170406T1344060Z-511394-1303@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170418T140000
DTEND;TZID=America/Sao_Paulo:20170418T150000
SUMMARY:Power Integrity Measurements: Challenges and Best Practices
DESCRIPTION:Summary Making accurate power integrity measurements continues
to challenge traditional oscilloscopes and methods as DC rails move to low
er voltages with tighter tolerances. Learn the methods and techniques for
making more accurate power integrity measurements with your oscilloscope.
This webinar will provide the latest update on technical benefits addres
sed by specialized power rail probes uniquely designed for power rail meas
urements. > Gain insight on specialized power rail probes designed for
low noise\, and large offset range to address new challenges. > Learn what
methods and techniques achieve the most accurate noise/ripple measurement
s. > Understand how a scopes FFT can help identify and isolate high freque
ncy transients riding on power rails. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Making accurate power integrity measurements continues to challenge tra
ditional oscilloscopes and methods as DC rails move to lower voltages with
tighter tolerances.  \;Learn the methods and techniques for making mo
re accurate power integrity measurements with your oscilloscope.  \;Th
is webinar will provide the latest update on technical benefits addressed
by specialized power rail probes uniquely designed for power rail measurem
ents.  \; \; >\;&nb
sp\;Gain insight on specialized power rail probes designed for low noise\,
and large offset range to address new challenges. >\; Learn what
methods and techniques achieve the most accurate noise/ripple measurements
. >\; Understand how a scopes FFT can help identify and isolate hi
gh frequency transients riding on power rails.  \;  \; REGISTER<
/span>
CATEGORIES:General
UID:20170406T1346520Z-511394-1304@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170301T160000
DTEND;TZID=America/Sao_Paulo:20170301T170000
SUMMARY: Preparing for the Future of IoT
DESCRIPTION:Why this webcast is important Industrial equipment manufacturer
s face a multitude of challenges when it comes to preparing products for t
he IoT. Product designers must adhere to a number of requirements\, and th
ere are still many open questions about how the IoT will roll out and reac
h the volumes that analysts have predicted. Attend this exclusive webina
r and learn how NXP can bridge the gap to deploying the IoT with the ARM C
ortex A based QorIQ Layerscape family of SoCs. We'll discuss features\, in
cluding: How to move cloud-based analytics straight to the network edge. R
ightsizing your platform with a family of processors that range from a sin
gle A53 core to eight A72 cores. NXP's wide portfolio for low power wirele
ss standards\, in addition to high speed Wi-Fi and cellular modems. How to
ensure devices are secure from start to finish using QorIQ Layerscape Sec
ure Platform built on the Trust Architecture. Register Now!
X-ALT-DESC;FMTTYPE=text/html: Why this webcast
is important Industrial equipment manufacturers face a multitude of challenges when
it comes to preparing products for the IoT. Product designers must adhere
to a number of requirements\, and there are still many open questions abou
t how the IoT will roll out and reach the volumes that analysts have predi
cted.  \; Attend this exclusive webinar and lea
rn how NXP can bridge the gap to deploying the IoT with the ARM Cortex A b
ased QorIQ Layerscape family of SoCs. We'll discuss features\, including:<
/p> - How to move cloud-based analy
tics straight to the network edge.
- Rightsizing your platform wit
h a family of processors that range from a single A53 core to eight A72 co
res.
- NXP's wide portfolio for low power wireless standards\, in
addition to high speed Wi-Fi and cellular modems.
- How to ensure
devices are secure from start to finish using QorIQ Layerscape Secure Plat
form built on the Trust Architecture.
Register Now!
CATEGORIES:Education
UID:20170221T1811080Z-511394-1227@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170223T150000
DTEND;TZID=America/Sao_Paulo:20170223T160000
SUMMARY:Millimeter-wave Challenges
DESCRIPTION: Why this wecast is important While the frequency of “mmWave”
systems can vary from 10 - >100 GHz\, there are aspects of system design a
nd the impact of hardware limitations that are common. A combination of hi
gh frequency and wide bandwidth require close attention to broadband noise
\, phase noise\, linearity\, frequency response and power dissipation. The
use of directional antennas introduces another dimension to the problem o
f maintaining an effective link\, since beam steering is required. This we
bcast discusses these issues and some solutions using a number of examples
from 802.11ad and OFDM signals. Who should attend Engineers and tec
hnicians working at millimeter-wave frequencies\, including 5G\, Millimete
r-wave radar and 802.11ad. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;<
/td> | <
span style='font-size: 18px\; line-height: 22px\; color: #d14825\; font-fa
mily: Arial\, Helvetica\, sans-serif\; font-weight: normal\;'>Why
this wecast is important While the frequency of &ldq
uo\;mmWave&rdquo\; systems can vary from 10 - >\;100 GHz\, there are asp
ects of system design and the impact of hardware limitations that are comm
on. A combination of high frequency and wide bandwidth require close atten
tion to broadband noise\, phase noise\, linearity\, frequency response and
power dissipation. The use of directional antennas introduces another dim
ension to the problem of maintaining an effective link\, since beam steeri
ng is required. This webcast discusses these issues and some solutions usi
ng a number of examples from 802.11ad and OFDM signals. |  \; | Who should
attend Engineers and technicians working at millimeter-wave frequencies
\, including 5G\, Millimeter-wave radar and 802.11ad. |
|  \;REGISTER NOW
CATEGORIES:Education
UID:20170221T1827300Z-511394-1235@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170301T150000
DTEND;TZID=America/Sao_Paulo:20170301T160000
SUMMARY:Oscilloscope or Digitizer for Wideband analysis - Why care?
DESCRIPTION: Why this webcast is important This presentation describes the
differences between digitizer and oscilloscope measurements and explains
how the trade-offs will impact your wideband measurement results. Wider
communication bandwidths are used more and more to keep up with the change
s in wireless communication standards as well as for many aerospace & defe
nse industry programs. Regardless of the carrier frequency\, digitizers an
d oscilloscopes are key tools for wideband analysis. The challenges associ
ated with wideband measurements lead us to think differently about our mea
surement instrument selection because using the correct approach will grea
tly improve your measurement results. You will learn: About the differen
ces in measurement fidelity How to address automation or events and trigge
ring How to identify glitches or infrequent events The best way to impleme
nt multi-channel\, coherent measurements Use cases from wireless and A/D a
pplications will be included. Who should attend Test engineers\, R&D e
ngineers\, engineering managers\, production engineers\, production engine
ering managers REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; | Why this webca
st is important This presentation describes the
differences between digitizer and oscilloscope measurements and explains h
ow the trade-offs will impact your wideband measurement results. &
nbsp\; Wider communication bandwidths are used more and more to ke
ep up with the changes in wireless communication standards as well as for
many aerospace &\; defense industry programs. Regardless of the carrier
frequency\, digitizers and oscilloscopes are key tools for wideband analy
sis. The challenges associated with wideband measurements lead us to think
differently about our measurement instrument selection because using the
correct approach will greatly improve your measurement results. &n
bsp\; You will learn: - About the differences in meas
urement fidelity
- How to address automation or events and trigger
ing
- How to identify glitches or infrequent events
- The
best way to implement multi-channel\, coherent measurements
<
p>Use cases from wireless and A/D applications will be included. | | |  \; |
 \; | Who should attend Test engineers\, R&\;D engineers\, engineering m
anagers\, production engineers\, production engineering managers | |  \
; REGISTER NOW
CATEGORIES:Education
UID:20170224T1317120Z-511394-1237@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170321T160000
DTEND;TZID=America/Sao_Paulo:20170321T170000
SUMMARY:Industrial IoT Solutions Using Microsoft Azure IoT Suite
DESCRIPTION: Developing a new cloud based solution for managing\, analyzin
g and taking action on data from an Industrial Internet of Things (IIoT) d
eployment is not an easy task if you’re new to it. While there are a lot o
f available resources to coach you through the steps\, working from a prov
en model is the best starting point. Microsoft has solved for this using t
he Azure cloud and the Azure IoT Suite. Preconfigured solutions have been
developed for predictive maintenance and equipment monitoring. These preco
nfigured cloud services are a great fit with IIoT applications such as man
aging nodes on an automated production line. Join Microsoft and Avnet for
a technical overview of the Azure Cloud\, the Azure IoT Suite and the prec
onfigured solutions that will get you going right away. Speaker: Gordon Sm
ith\; Microsoft Technology Strategist Moderator: Rich Nass\, OpenSystems
Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | |
Developing a new cloud based solution for managing\, analyzing and ta
king action on data from an Industrial Internet of Things (IIoT) deploymen
t is not an easy task if you&rsquo\;re new to it. While there are a lot of
available resources to coach you through the steps\, working from a prove
n model is the best starting point. Microsoft has solved for this using th
e Azure cloud and the Azure IoT Suite. Preconfigured solutions have been d
eveloped for predictive maintenance and equipment monitoring. These precon
figured cloud services are a great fit with IIoT applications such as mana
ging nodes on an automated production line. Join Microsoft and Avn
et for a technical overview of the Azure Cloud\, the Azure IoT Suite and t
he preconfigured solutions that will get you going right away. |
<
strong>Speaker: Gordon Smith\; Microsoft Technology Strateg
ist Moderator: \; Rich Nass\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20170224T1321330Z-511394-1239@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170308T130000
DTEND;TZID=America/Sao_Paulo:20170308T140000
SUMMARY:How to choose the right camera or smart camera for your vision syst
em
DESCRIPTION:When it comes to choosing the correct industrial camera or smar
t camera for your imaging needs\, understanding camera and optic specifica
tions are crucial. In a free webcast on March 8\, Perry West\, President\,
Automated Vision Systems\, Inc.\, will explain these specifications while
also discussing factors such as resolution\, pixel count\, field of view\
, as well as provide an overview of the types of cameras that are currentl
y available. What You'll Learn: How the correct choice of lenses and c
ameras can affect system performance Camera specifications such as resolut
ion\, pixel count\, field of view and S/N ratio and options available to y
ou The different types of interfaces currently available\, and why these m
atter Who Should Attend: Those involved in specifying cameras or vision sy
stems Those wishing to gain a greater understanding of camera parameters S
ystems designers and engineering managers
X-ALT-DESC;FMTTYPE=text/html: When it comes to choosing the
correct industrial camera or smart camera for your imaging needs\, unders
tanding camera and optic specifications are crucial.
In a fre
e webcast on March 8\, Perry West\, President\, Automated Vision Systems\,
Inc.\, will explain these specifications while also discussing factors su
ch as resolution\, pixel count\, field of view\, as well as provide an ove
rview of the types of cameras that are currently available.
 \; What You'll Learn:  \; - How the correct choice of len
ses and cameras can affect system performance
- Camera specifications such as r
esolution\, pixel count\, field of view and S/N ratio and options availabl
e to you
- The different types of interfaces currently available\, and why thes
e matter
Who Should
A
ttend:
- Those involved in specifying cameras or vision systems
- Those wi
shing to gain a greater understanding of camera parameters
<
li>Systems designers
and engineering managers  \;  \;
CATEGORIES:Education
UID:20170224T1320120Z-511394-1238@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170427T160000
DTEND;TZID=America/Sao_Paulo:20170427T170000
SUMMARY:Implementing IPv6 Over Bluetooth Low Energy - Day 4
DESCRIPTION:his lecture series will focus on implementing a small IPv6 netw
ork using Bluetooth Low-Energy devices. IPv6 connectivity will be demonstr
ated using the IPv6 embedded development tools that are currently availabl
e. April 27 - Day 4: Coding the IPv6 Application Layer Connecting our nRF5
nodes via the Raspberry Pi router is useless if no data flows over the IP
v6 Bluetooth Low-Energy links. Today\, we will examine the application cod
e that uses the resources of the Nordic Semiconductor BLE 6loWPAN stack to
deliver digital payloads.
X-ALT-DESC;FMTTYPE=text/html: his lecture s
eries will focus on implementing a small IPv6 network using Bluetooth Low-
Energy devices. IPv6 connectivity will be demonstrated using the IPv6 embe
dded development tools that are currently available. -
Connecting our
nRF5 nodes via the Raspberry Pi router is useless if no data flows over t
he IPv6 Bluetooth Low-Energy links. Today\, we will examine the applicatio
n code that uses the resources of the Nordic Semiconductor BLE 6loWPAN sta
ck to deliver digital payloads.
CATEGORIES:Education
UID:20170420T1713360Z-511394-1334@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170428T160000
DTEND;TZID=America/Sao_Paulo:20170428T170000
SUMMARY:Implementing IPv6 Over Bluetooth Low Energy - Day 5
DESCRIPTION:his lecture series will focus on implementing a small IPv6 netw
ork using Bluetooth Low-Energy devices. IPv6 connectivity will be demonstr
ated using the IPv6 embedded development tools that are currently availabl
e. April 28 - Day 5: Fire It Up In this final installment\, we will integr
ate the Raspberry Pi router and the nRF5 nodes to form a small IPv6 networ
k. Our attention will initially be focused on the operation of the newly-s
pawned IPv6 network. Once we understand what the IPv6 network is doing\, w
e’ll unleash the IPv6 application.
X-ALT-DESC;FMTTYPE=text/html: his lecture s
eries will focus on implementing a small IPv6 network using Bluetooth Low-
Energy devices. IPv6 connectivity will be demonstrated using the IPv6 embe
dded development tools that are currently available. -
In this final installment\, we will integrate the Raspberry
Pi router and the nRF5 nodes to form a small IPv6 network. Our attention
will initially be focused on the operation of the newly-spawned IPv6 netwo
rk. Once we understand what the IPv6 network is doing\, we&rsquo\;ll unlea
sh the IPv6 application.
CATEGORIES:Education
UID:20170420T1714180Z-511394-1335@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170517T130000
DTEND;TZID=America/Sao_Paulo:20170517T140000
SUMMARY:Inside the Cockpit: How TE brings Fighter Jet Technology to Race Ca
rs
DESCRIPTION:Racing cars are a unique environment. A racing car that's comfo
rtable for the driver is a slow car\, so niceties like suspension travel g
et sacrificed for speed. However\, all other parts on the car must withsta
nd a tough environment. Since weight is a critical element\, the challenge
is to make products that are smaller and lighter\, but can withstand vibr
ation and heat. In this Webinar\, learn how TE took military standards and
designs as a starting point\, and developed a world where camouflage is r
eplaced by brightly colored sponsor logos\, and the electronics under the
bodywork see a harder life than in a fighter jet. For more information a
nd to register\, click here.
X-ALT-DESC;FMTTYPE=text/html: Racing cars a
re a unique environment. A racing car that's comfortable for the driver is
a slow car\, so niceties like suspension travel get sacrificed for speed.
However\, all other parts on the car must withstand a tough environment.
Since weight is a critical element\, the challenge is to make products tha
t are smaller and lighter\, but can withstand vibration and heat. In this Webinar\, learn how TE took military standards and designs as
a starting point\, and developed a world where camouflage is replaced by
brightly colored sponsor logos\, and the electronics under the bodywork se
e a harder life than in a fighter jet.  \; For more information and to register\, click here.
CATEGORIES:Education
UID:20170420T1720340Z-511394-1338@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170504T160000
DTEND;TZID=America/Sao_Paulo:20170504T170000
SUMMARY:New software tools provide superior light pipe efficiency and unifo
rmity output over previous software methods.
DESCRIPTION:TracePro incorporates multiple tools to improve light pipe unif
ormity and efficiency. The 3D interactive optimization utility is far supe
rior to black box optimizers\, by incorporating interactive raytracing to
start with better starting solutions and the capability to watch each iter
ation of the optimization. What You'll Learn: Find out why interactive
optimization is superior to black box optimizers Why light pipe creation
is easier in an optical program then standard CAD programs for feature des
ign How visualization tools are key in designing better light pipes Why ph
oto realistic rendering is the only true tool to discover uniformity issue
s in light pipes Who Should Attend: First time or beginning light pipe d
esigners who need to know how to create better light pipes Light pipe desi
gners who need to understand lit appearance/photo realistic rendering and
why it is so important for light pipe simulation Light pipe designer strug
gling to match simulation results with measured prototype results Light pi
pe designers who want to improve their current designs
X-ALT-DESC;FMTTYPE=text/html: TracePro incorporates multipl
e tools to improve light pipe uniformity and efficiency.
The
3D interactive optimization utility is far superior to black box optimizer
s\, by incorporating interactive raytracing to start with better starting
solutions and the capability to watch each iteration of the optimization.
 \;
What You'll Learn:  \; - Find out why inter
active optimization is superior to black box optimizers
- <
span style='font-family: arial\; font-size: small\;'>Why light pipe creati
on is easier in an optical program then standard CAD programs for feature
design
- How visualization tools are key in designing better light pipes
- Why photo
realistic rendering is the only true tool to discover uniformity issues i
n light pipes
 \;
Who Should Attend:
- <
span style='font-family: arial\; font-size: small\;'> First time or beginn
ing light pipe designers who need to know how to create better light pipes
- Li
ght pipe designers who need to understand lit appearance/photo realistic r
endering and why it is so important for light pipe simulation
- Light pipe desi
gner struggling to match simulation results with measured prototype result
s
- L
ight pipe designers who want to improve their current designs
CATEGORIES:Education
UID:20170420T1717230Z-511394-1336@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170420T130000
DTEND;TZID=America/Sao_Paulo:20170420T140000
SUMMARY:Wireless charging for consumer - Introducing cost-effective solutio
ns to ensure excellent user experience
DESCRIPTION:Summary Wireless charging will heavily influence our daily live
s in the coming years and will be used to charge various applications such
as smartphones\, wearables\, tablets\, notebooks\, multicopter\, service
robots etc. The market is expected to ship 500M wireless charging transmit
ter until 2021. Today\, engineers look for semiconductor solutions that o
vercome a wide range of common wireless power transfer challenges. First a
nd foremost\, they require efficient and easy-to-design transmitter soluti
ons. Smart heat management is also essential to keep the surface and the
transmitter at a comfortable temperature and not heat up the battery of th
e receiving device. A small footprint is highly valued because it suits de
vices that are small in size\, especially wearables. By fitting your desig
ns with a high power rating you can ensure faster charging speed\, which w
ill please consumers. At Infineon\, we help you master your design challen
ges with our broad selection of semiconductors (MOSFETs\, driver ICs & Mic
rocontroller) to address the leading standards (inductive and resonant) in
the market. Infineon enables customer to achieve a performance comparable
to GaN using mature and reliable Si technology. We are working as well on
medium voltage GaN solutions and will bring them to the market in the com
ing years on the same maturity level of Si devices and at a significant pe
rformance increase. Get an overview about Infineons offerings and join the
webinar!
X-ALT-DESC;FMTTYPE=text/html: Wireless charging will heavily influence our daily lives in the coming
years and will be used to charge various applications such as smartphones\
, wearables\, tablets\, notebooks\, multicopter\, service robots etc. The
market is expected to ship 500M wireless charging transmitter until 2021.&
nbsp\; Today\, engineers look for se
miconductor solutions that overcome a wide range of common wireless power
transfer challenges. First and foremost\, they require efficient and easy-
to-design transmitter solutions. Smart heat management is also essential t
o keep the surface and the \; transmitter at a comfortable temperature
and not heat up the battery of the receiving device. A small footprint is
highly valued because it suits devices that are small in size\, especiall
y wearables. By fitting your designs with a high power rating you can ensu
re faster charging speed\, which will please consumers. At Infineon\, we help you master your design challenge
s with our broad selection of semiconductors (MOSFETs\, driver ICs &\;
Microcontroller) to address the leading standards (inductive and resonant)
in the market. Infineon enables cus
tomer to achieve a performance comparable to GaN using mature and reliable
Si technology. We are working as well on medium voltage GaN solutions and
will bring them to the market in the coming years on the same maturity le
vel of Si devices and at a significant performance increase. Get an overview about Infineons offerings and joi
n the webinar!  \;
CATEGORIES:Education
UID:20170406T1349120Z-511394-1305@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170221T160000
DTEND;TZID=America/Sao_Paulo:20170221T170000
SUMMARY:Improving Automotive Inspection with Light & Color Measurement Syst
ems
DESCRIPTION:In this webinar\, Radiant Vision Systems introduces light and c
olor measurement solutions designed scientifically to enable the evaluatio
n of light using the same tolerances of perception as the human eye. Webin
ar host and Radiant's Automotive Business Leader\, Matt Scholz\, presents
photometric and colorimetric cameras for brightness and color evaluation.
What You'll Learn: How imaging photometers and colorimeters optimize l
ight\, color\, and feature measurement in automotive lighting and displays
The advantages of photometric inspection over standard machine vision sys
tems Applications of light and color measurement in automotive components\
, from headlamps to HUD displays How to choose an imaging system for your
light measurement application Who Should Attend: Automotive OEMs and Tier
1\, 2\, & 3 Suppliers Design Engineers in Optics\, Lighting\, and Displays
Quality Control Managers End of Line Production Testers Register Today!
X-ALT-DESC;FMTTYPE=text/html: In this webinar\, Radiant Vision Systems introduces light a
nd color measurement solutions designed scientifically to enable the evalu
ation of light using the same tolerances of perception as the human eye. W
ebinar host and Radiant's Automotive Business Leader\, Matt Scholz\, prese
nts photometric and colorimetric cameras for brightness and color evaluati
on.  \; What You'll
Learn: &nbs
p\; -
How imaging photometers and colorimeters optimize light\, color\, and feat
ure measurement in automotive lighting and displays
- The advantages of photome
tric inspection over standard machine vision systems
- Applications of light an
d color measurement in automotive components\, from headlamps to HUD displ
ays
- How to choose an imaging system for your light measurement application
Who Should Attend:
-
Automotive OEMs and Tier 1\, 2\, &\; 3 Suppliers
- Design Engineers in Optic
s\, Lighting\, and Displays
- Quality Control Managers
- End of Line Production Test
ers
Register Today!  \;  \;
CATEGORIES:Education
UID:20170208T1616540Z-511394-1179@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170217T140000
DTEND;TZID=America/Sao_Paulo:20170217T150000
SUMMARY:The Future of Supply Chain\, Today
DESCRIPTION: Today\, emerging technologies and rapid consumer expectatio
ns have revealed supply chain inefficiencies and disconnects across all bu
siness segments – from distribution centers and warehouses\, to transport
companies\, to retailers. There has never been a more critical time for co
mpanies to streamline operations in order to realize greater efficiency an
d productivity. We will highlight how we are evolving our portfolio to mak
e your business and your workers more productive\, efficient and safe. Ou
r business leaders will also introduce new\, innovative solutions we are b
ringing to market to deliver on this promise. Leading this live broadcas
t will be: John Waldron\, President and CEO\, Honeywell Safety and Product
ivity Solutions Lisa London\, President and General Manager\, Productivity
Products Taylor Smith\, President and General Manager\, Workflow Solution
s Ed Puisis\, Chief Administrative Officer\, Intelligrated Our guest host
will be Erin Streeter\, Senior Vice President of Communications at the Nat
ional Association of Manufacturers. LEARN MORE
X-ALT-DESC;FMTTYPE=text/html:  \;  \; Today\, emerging technologi
es and rapid consumer expectations have revealed supply chain inefficienci
es and disconnects across all business segments &ndash\; from distribution
centers and warehouses\, to transport companies\, to retailers. There has
never been a more critical time for companies to streamline operations in
order to realize greater efficiency and productivity.
We will
highlight how we are evolving our \;portfolio to make your business a
nd your workers more productive\, efficient and safe.  \;Our business
leaders will also introduce new\, innovative solutions we are bringing to
market to deliver on this promise.  \; Leading this live broadcast will be: - John Waldron\, Presiden
t and CEO\, Honeywell Safety and Productivity Solutions
- Lisa Lon
don\, President and General Manager\, Productivity Products
- Tayl
or Smith\, President and General Manager\, Workflow Solutions
- Ed
Puisis\, Chief Administrative Officer\, Intelligrated
Our guest host will
be Erin Streeter\, Senior Vice President of Communications at the Nationa
l Association of Manufacturers. LEARN MO
RE
CATEGORIES:Education
UID:20170208T1601100Z-511394-1174@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170222T170000
DTEND;TZID=America/Sao_Paulo:20170222T180000
SUMMARY:Magnetic Motor-Feedback Kits - A New Way to Improve Performance and
Reduce Costs
DESCRIPTION:Today's motor-feedback systems generally fall into one of two c
ategories: high performance and very expensive or low cost\, but lacking p
erformance and features. In this presentation\, we will compare existing s
olutions and show the technologies that have been used to design a magneti
c kit solution that is both high performance and cost efficient. The techn
ical challenges of using a magnetic system in a motor environment will be
discussed\, along with the solutions we can provide. This Webinar will als
o cover the advantages of this new solution on application and integration
levels\, and an outlook for future developments and applications will be
given. For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html: Today's motor
-feedback systems generally fall into one of two categories: high performa
nce and very expensive or low cost\, but lacking performance and features.
In this presentation\, we will compare existing solutions an
d show the technologies that have been used to design a magnetic kit solut
ion that is both high performance and cost efficient. The technical challe
nges of using a magnetic system in a motor environment will be discussed\,
along with the solutions we can provide.
This Webinar will a
lso cover the advantages of this new solution on application and integrati
on levels\, and an outlook for future developments and applications will b
e given.  \; For more information and to register\, click here.
CATEGORIES:Education
UID:20170208T1618280Z-511394-1180@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170214T170000
DTEND;TZID=America/Sao_Paulo:20170214T180000
SUMMARY:Controlling BLDC Motors - Part 1: Control Theory
DESCRIPTION:This three-part class will go over the basics of controlling br
ushless dc motors (BLDC). As microcontrollers become more powerful and co
me with enhanced software libraries\, controlling BLDC motors can be easil
y done without becoming a motor expert. This class will provide a fundamen
tal overview of different control techniques and introduce software tools
that make the control easy. Part 1: Control Theory This first class provid
es a theoretical overview of BLDC motors. It will cover how BLDC motors ar
e constructed and operated. The two most common control techniques: Trapez
oidal (Six-Step) Control and Field Oriented Control will be described and
compared. STMicroelectronics will give away up to 100 Motor Control Nucle
o Pack kits (P-NUCLEO-IHM002 (Value $48.90)\, which contain the NUCLEO-F30
2R8 and X-NUCLEO-IHM07M1\, the power supply and a three-phase BLDC motor)
to registrants who would like to develop interesting\, unusual\, exciting\
, or innovative applications. (Entries will be judged on the creativity of
the to-be-built application and the number of ST components involved. The
contest is limited to USA residents and not open to ST employees or membe
rs of their families.) REGISTER
X-ALT-DESC;FMTTYPE=text/html: This three-part class will go over the basics
of controlling brushless dc motors (BLDC). \; As microcontrollers bec
ome more powerful and come with enhanced software libraries\, controlling
BLDC motors can be easily done without becoming a motor expert. \;This
class will provide a fundamental overview of different control techniques
and introduce software tools that make the control easy.
Part 1: Control Theory
This first class provides a
theoretical overview of BLDC motors. It will cover how BLDC motors are con
structed and operated. \;The two most common control techniques: Trape
zoidal (Six-Step) Control and Field Oriented Control will be described and
compared.  \;STMicroelectronics
will give away up to 100 Motor Control Nucleo Pack kits (P-NUCLEO-IHM002
(Value $48.90)\, which contain the NUCLEO-F302R8 and X-NUCLEO-IHM07M1\, th
e power supply and a three-phase BLDC motor) to registrants who would like
to develop interesting\, unusual\, exciting\, or innovative applications.
(Entries will be judged on the creativity of the to-be-bu
ilt application and the number of ST components involved. The contest is l
imited to USA residents and not open to ST employees or members of their f
amilies.)  \; REGISTER
CATEGORIES:General
UID:20170208T1621270Z-511394-1181@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170503T160000
DTEND;TZID=America/Sao_Paulo:20170503T170000
SUMMARY:Building Auto Products that Meet Functional Safety Requirements
DESCRIPTION: 83% of Auto industry executives believe that there will be a
major business model disruption in the automotive industry within the next
five years. Autonomous driving\, electrification\, infotainment\, connect
ivity…in the face of the rapidly changing market\, successful automotive s
uppliers rely on a solid requirements management process to maintain compl
iance while not missing deadlines. The evolving landscape also brings in n
ew entrants who have never had to deal with regulatory overhead and functi
onal safety standards. New entrants face an uphill challenge developing ne
w products while meeting applicable functional safety requirements. Join u
s as Automotive industry experts AutoSens and Jama Software discuss trends
in the automotive industry\, the impact of regulatory overhead on design
cycles\, and what that means for the market. Speakers: Andrew Byers\, Jama
Software Robert Stead\, Managing Director\, Sense Media Group | Chair\, I
EEE-SA P2020 Working Group Moderator: Curt Schwaderer\, OpenSystems Media
REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | <
td style='padding: 20px\; padding-top: 0px\;' colspan='2'> 83% of Auto
industry executives believe that there will be a major business model dis
ruption in the automotive industry within the next five years. Autonomous
driving\, electrification\, infotainment\, connectivity&hellip\;in the fac
e of the rapidly changing market\, successful automotive suppliers rely on
a solid requirements management process to maintain compliance while not
missing deadlines. The evolving landscape also brings in new entrants who
have never had to deal with regulatory overhead and functional safety stan
dards. New entrants face an uphill challenge developing new products while
meeting applicable functional safety requirements. Join us as Aut
omotive industry experts AutoSens and Jama Software discuss trends in the
automotive industry\, the impact of regulatory overhead on design cycles\,
and what that means for the market. Speakers:<
br /> Andrew Byers\, Jama Software Robert Stead\, Managing Director\,
Sense Media Group | Chair\, IEEE-SA P2020 Working Group Moderator: \; Curt Schwaderer\,
OpenSystems Media | <
td style='background-color: #fc4001\; color: #fff\; width: 650px\; font-fa
mily: Helvetica\, Arial\, sans-serif\; font-size: 13px\; font-weight: bold
\; text-align: center\; padding-top: 10px\; padding-bottom: 10px\; border-
radius: 6px\;'>REG
ISTER NOW |  \;
CATEGORIES:Education
UID:20170420T1735210Z-511394-1345@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170504T160000
DTEND;TZID=America/Sao_Paulo:20170504T170000
SUMMARY:Too Hot to Handle: Enabling Efficient Thermal Management in Militar
y Electronics
DESCRIPTION: Sponsored by: REGISTER NOW Commercial processors and FPGAs pr
omise and deliver performance advantages for military communications syste
ms\, sensor applications\, radar\, and electronic warfare programs at a lo
wer price point than custom-designed systems. But to accomplish that perfo
rmance they must generate a lot of heat and even with power-saving techniq
ues added by the chip manufacturers the thermals still are too high for us
e in military battlefield systems. This e-cast of industry experts will co
ver methods for removing heat in military signal processing systems from s
mall form factors to larger designs. Speakers: Elma Electronics\, National
Instruments\, Themis Computer Moderator: John McHale\, OpenSystems Media
REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | | Sponsored by: | <
td style='padding: 0px 20px 20px 20px\;' colspan='2'> Commercial proce
ssors and FPGAs promise and deliver performance advantages for military co
mmunications systems\, sensor applications\, radar\, and electronic warfar
e programs at a lower price point than custom-designed systems. But to acc
omplish that performance they must generate a lot of heat and even with po
wer-saving techniques added by the chip manufacturers the thermals still a
re too high for use in military battlefield systems. This e-cast of indust
ry experts will cover methods for removing heat in military signal process
ing systems from small form factors to larger designs.
S
peakers: Elma Electronics\, National Instruments\, Themis C
omputer Moderator: \;
strong>John McHale\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20170420T1733320Z-511394-1344@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170427T130000
DTEND;TZID=America/Sao_Paulo:20170427T140000
SUMMARY:Counting Electrons: Making Ultra-high Sensitivity Femtoamp Measurem
ents
DESCRIPTION:Overview: Need ultra-high sensitivity measurements in the picoa
mps and below? It's harder than you think\, but Analog Devices' high preci
sion signal chains for electrometer-grade measurements make it attainable
with a new reference platform. This webcast will explore the applications
requiring sub-picoamp measurements and discuss the design challenges assoc
iated with implementing a low leakage\, high precision signal path. Who Sh
ould Attend: Engineers defining and designing detector interfaces for spec
troscopy\, electrochemistry\, cutting-edge scientific research\, and mater
ial identification systems. Anyone interested in the challenges of particl
e counting and electrometers.
X-ALT-DESC;FMTTYPE=text/html:Overview: Need ultra-high
sensitivity measurements in the picoamps and below? It's harder than you t
hink\, but Analog Devices' high precision signal chains for electrometer-g
rade measurements make it attainable with a new reference platform. This w
ebcast will explore the applications requiring sub-picoamp measurements an
d discuss the design challenges associated with implementing a low leakage
\, high precision signal path.
Who Should Attend:
Enginee
rs defining and designing detector interfaces for spectroscopy\, electroch
emistry\, cutting-edge scientific research\, and material identification s
ystems. \;Anyone interested in the
challenges of particle counting and electrometers.  
\; |  \; |  \; |  \; |
 \;
| &
nbsp\; |  \; | |  \; |  \;
CATEGORIES:Education
UID:20170420T1730390Z-511394-1342@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170418T140000
DTEND;TZID=America/Sao_Paulo:20170418T150000
SUMMARY:Cybersecurity Challenges and Solutions
DESCRIPTION:Today's world is more interconnected than ever before. Yet\, fo
r all its advantages\, increased connectivity brings increased risk. Cyber
space and its underlying infrastructure are vulnerable to those seeking to
disrupt or destroy everything from medical records and robots\, to indust
rial machinery and automobiles. In this Webinar\, experts discuss how desi
gners of these products will ensure that all available technologies are im
plemented to protect against attacks. An audience Q&A follows the speaker
presentations. Register Now →
X-ALT-DESC;FMTTYPE=text/html:Today's world
is more interconnected than ever before. Yet\, for all its advantag
es\, increased connectivity brings increased risk.
Cybe
rspace and its underlying infrastructure are vulnerable to those seeking t
o disrupt or destroy everything from medical records and robots\, to indus
trial machinery and automobiles. In this Webinar\, experts discuss how des
igners of these products will ensure that all available technologies are i
mplemented to protect against attacks.
An audience Q&\;A f
ollows the speaker presentations. &n
bsp\; Register Now
&rarr\;
CATEGORIES:Education
UID:20170406T1359160Z-511394-1309@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170412T150000
DTEND;TZID=America/Sao_Paulo:20170412T160000
SUMMARY:Designing a Healthy Device with Bench Tools You Already Have
DESCRIPTION:Why this webcast is important A medical device designer must mi
tigate patient risk and prove that mitigation to the FDA. Does that mean y
ou need to have a suite of specialized test equipment? In a few cases it m
ight\, but if you consider these seven important factors\, you can probabl
y perform most test method validation tasks with standard bench instrument
s. Attend Keysight’s webinar to learn how to make smart choices that minim
ize the risk of harm to the patient and the risk of warning letters for yo
ur company. Who should attend Design and test engineers who develop
portable\, implantable\, or other medical devices where analyzing and unde
rstanding power consumption is critical. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is important<
br /> A medical device designer must mitigate patient risk and prove that
mitigation to the FDA. Does that mean you need to have a suite of speciali
zed test equipment? In a few cases it might\, but if you consider these se
ven important factors\, you can probably perform most test method validati
on tasks with standard bench instruments. Attend Keysight&rsquo\;s webinar
to learn how to make smart choices that minimize the risk of harm to the
patient and the risk of warning letters for your company.  \; |
Who
should attend Design and test engineers who develop
portable\, implantable\, or other medical devices where analyzing and und
erstanding power consumption is critical. |
|  \;REG
ISTER NOW \;  \;
CATEGORIES:Education
UID:20170406T1350560Z-511394-1306@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170413T160000
DTEND;TZID=America/Sao_Paulo:20170413T170000
SUMMARY:IIoT Webcast One: Get Your Head into the Cloud
DESCRIPTION:Automation technologies are converging with information technol
ogies. To handle growing volumes of data generated by IIoT-enabled devices
\, companies will need powerful data processing capability. Cloud-based en
vironments transform how process-automation projects are engineered and in
stantiated\, replacing client/server. This Webcast focuses on how emergen
t IIoT technologies change the way process and automation engineers execut
e projects and sustain productivity in today's\, and tomorrow's\, cyber-ph
ysical world. Learning Objectives: Gain familiarity with engineering voca
bulary related to industrial Cloud and IIoT Discover how IT-based standard
s are changing the automation world Examine user case examples of IIoT and
the Cloud Featured Speaker: Abhijit Jog\, founder\, Panacea Technologies
Inc. Abhijit Jog is the founder of Panacea Technologies and has over 25
years of Process Control Experience. With a Master's in Electrical Enginee
ring from NJIT\, Abhijit has always been on the forefront of automation te
chnology and network security. He helped design the automation and SCADA s
ystem for the largest Biotech processing plant in the country\, and contin
ues to impact the industry through Panacea Technologies. Moderator: Me\, K
evin Parker\, senior contributing editor and moderator\, CFE Media Sponso
red by: B+B SmartWorx\, Littelfuse\, Oracle + NetSuite\, Rittal Click here
to register for the first Webcast in the 2017 IIoT series. We look forwar
d to seeing you on April 13th!
X-ALT-DESC;FMTTYPE=text/html:Automation te
chnologies are converging with information technologies. To handle growing
volumes of data generated by IIoT-enabled devices\, companies will need p
owerful data processing capability. Cloud-based environments transform how
process-automation projects are engineered and instantiated\, replacing c
lient/server. \; This Webcast fo
cuses on how emergent IIoT technologies change the way process and automat
ion engineers execute projects and sustain productivity in today's\, and t
omorrow's\, cyber-physical world. Learning Objectives: \; - Gain familiarity with engineering vocabulary related to indu
strial Cloud and IIoT
- Discover how IT-based standards are changi
ng the automation world
- Examine user case examples of IIoT and t
he Cloud
Featured Sp
eaker: Abhijit Jog\, founder\, Panacea Technologies Inc.  \;<
/p> Abhijit Jog is the founder of Panace
a Technologies and has over 25 years of Process Control Experience. With a
Master's in Electrical Engineering from NJIT\, Abhijit has always been on
the forefront of automation technology and network security. He helped de
sign the automation and SCADA system for the largest Biotech processing pl
ant in the country\, and continues to impact the industry through Panacea
Technologies. Moderator: Me\, Kevin Parker\, senior contributing editor and moderator\, CFE M
edia \; Sponsored by: B+B SmartWorx\, Littelfuse\, Oracle + NetSuite\, Rittal Click here to register for th
e first Webcast in the 2017 IIoT series. We look forward to seeing you on
April 13th!
CATEGORIES:Education
UID:20170406T1355100Z-511394-1308@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170216T170000
DTEND;TZID=America/Sao_Paulo:20170216T180000
SUMMARY:Controlling BLDC Motors - Part 3: Field Oriented Control
DESCRIPTION:This three-part class will go over the basics of controlling br
ushless dc motors (BLDC). As microcontrollers become more powerful and com
e with enhanced software libraries\, controlling BLDC motors can be easily
done without becoming a motor expert. This class will provide a fundament
al overview of different control techniques and introduce software tools t
hat make the control easy. Part III: Field Oriented Control Field Oriented
Control (FOC) is the more complex control for BLDC motor. This class will
focus on how this control is implemented on a microcontroller while givin
g a real example of this implementation. We will also cover how to reduce
design cost by replacing hardware sensors with software sensors. STMicroel
ectronics will give away up to 100 Motor Control Nucleo Pack kits (P-NUCLE
O-IHM002 (Value $48.90)\, which contain the NUCLEO-F302R8 and X-NUCLEO-IHM
07M1\, the power supply and a three-phase BLDC motor) to registrants who w
ould like to develop interesting\, unusual\, exciting\, or innovative appl
ications. (Entries will be judged on the creativity of the to-be-built app
lication and the number of ST components involved. The contest is limited
to USA residents and not open to ST employees or members of their families
.) REGISTER
X-ALT-DESC;FMTTYPE=text/html:This three-pa
rt class will go over the basics of controlling brushless dc motors (BLDC)
. As microcontrollers become more powerful and come with enhanced software
libraries\, controlling BLDC motors can be easily done without becoming a
motor expert. \;This class will provide a fundamental overview of dif
ferent control techniques and introduce software tools that make the contr
ol easy.
Part III: Field Oriented Control
Field Oriented Control (FOC) is the more complex control for BLDC m
otor. \;This class will focus on how this control is implemented on a
microcontroller while giving a real example of this implementation. \;
We will also cover how to reduce design cost by replacing hardware sensors
with software sensors.
STMicroelectronics will give away up to
100 Motor Control Nucleo Pack kits (P-NUCLEO-IHM002 (Value $48.90)\, whic
h contain the NUCLEO-F302R8 and X-NUCLEO-IHM07M1\, the power supply and a
three-phase BLDC motor) to registrants who would like to develop interesti
ng\, unusual\, exciting\, or innovative applications.
(Ent
ries will be judged on the creativity of the to-be-built application and t
he number of ST components involved. The contest is limited to USA residen
ts and not open to ST employees or members of their families.) <
p style='text-align: justify\;'> \; REGISTER
CATEGORIES:Education
UID:20170208T1625370Z-511394-1183@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170228T170000
DTEND;TZID=America/Sao_Paulo:20170228T180000
SUMMARY:How Digital Image Correlation Impacts Design
DESCRIPTION:If you want to analyze the deformation of an object under load\
, you may reach for a handful of strain gauges and similar sensors. But th
ere is a better way to assess load response. Rather than directly instrume
nting the object you want to study\, you can use Digital Image Correlation
(DIC) techniques to measure deformation optically with high-speed cameras
and specialized software. DIC has traditionally been used in automotive\,
aerospace and defense applications\, but its influence continues to grow
in other industries thanks to ongoing ease-of-use improvements to both the
cameras and the software. In this Webinar\, we’ll examine an interesting
DIC application in which researchers evaluated the effect of impact forces
on different football helmet designs. The DIC setup and results from this
study have implications off the gridiron and can be extended to impact te
sting in many other settings. For more information and to register\, cli
ck here.
X-ALT-DESC;FMTTYPE=text/html:If you want t
o analyze the deformation of an object under load\, you may reach for a ha
ndful of strain gauges and similar sensors. But there is a better way to a
ssess load response.
Rather than directly instrumenting the o
bject you want to study\, you can use Digital Image Correlation (DIC) tech
niques to measure deformation optically with high-speed cameras and specia
lized software. DIC has traditionally been used in automotive\, aerospace
and defense applications\, but its influence continues to grow in other in
dustries thanks to ongoing ease-of-use improvements to both the cameras an
d the software.
In this Webinar\, we&rsquo\;ll examine an int
eresting DIC application in which researchers evaluated the effect of impa
ct forces on different football helmet designs. The DIC setup and results
from this study have implications off the gridiron and can be extended to
impact testing in many other settings.  \; For more information and
to register\, click here.<
/p>
CATEGORIES:Education
UID:20170208T1637180Z-511394-1188@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170328T170000
DTEND;TZID=America/Sao_Paulo:20170328T180000
SUMMARY:Programming Languages and Techniques for Today’s Embedded and IoT W
orld
DESCRIPTION: Embedded and IoT systems today don’t typically stop with
the device or sensor. Today’s embedded systems are connected to gateways a
nd industry applications to meet a specific need for that industry. Embedd
ed and IoT systems need to interoperate and sometimes integrate with these
higher end systems. The choice of programming languages\, algorithms\, an
d programming techniques are critical to the successful development\, impl
ementation\, and end-to-end testing in this environment. Join us as expert
s discuss available programming languages and techniques for successful an
d efficient development of embedded and IoT systems. Sponsors: National
Instruments\, Rogue Wave Moderator: Curt Schwaderer\, OpenSystems Media R
EGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; | |  \; Embedded and IoT systems today don&rsquo\;t typica
lly stop with the device or sensor. Today&rsquo\;s embedded systems are co
nnected to gateways and industry applications to meet a specific need for
that industry. Embedded and IoT systems need to interoperate and sometimes
integrate with these higher end systems. The choice of programming langua
ges\, algorithms\, and programming techniques are critical to the successf
ul development\, implementation\, and end-to-end testing in this environme
nt. Join us as experts discuss available programming languages and
techniques for successful and efficient development of embedded and IoT s
ystems.  \; | Sponsors: National Instruments\, R
ogue Wave Moderator: \;
Curt Schwaderer\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20170208T1633260Z-511394-1187@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170215T170000
DTEND;TZID=America/Sao_Paulo:20170215T180000
SUMMARY:Controlling BLDC Motors Part 2: Trapezoidal (Six-Step) BLDC Control
DESCRIPTION:This three-part class will go over the basics of controlling br
ushless dc motors (BLDC). As microcontrollers become more powerful and co
me with enhanced software libraries\, controlling BLDC motors can be easil
y done without becoming a motor expert. This class will provide a fundamen
tal overview of different control techniques and introduce software tools
that make the control easy. Part II: Trapezoidal (Six-Step) BLDC Control T
rapezoidal control is the simpler control for BLDC motor. This class will
focus on how this control is implemented on a microcontroller while giving
a real example of this implementation. We will also cover how to reduce d
esign cost by replacing hardware sensors with software sensors. STMicroel
ectronics will give away up to 100 Motor Control Nucleo Pack kits (P-NUCLE
O-IHM002 (Value $48.90)\, which contain the NUCLEO-F302R8 and X-NUCLEO-IHM
07M1\, the power supply and a three-phase BLDC motor) to registrants who w
ould like to develop interesting\, unusual\, exciting\, or innovative appl
ications. (Entries will be judged on the creativity of the to-be-built app
lication and the number of ST components involved. The contest is limited
to USA residents and not open to ST employees or members of their families
.) REGISTER
X-ALT-DESC;FMTTYPE=text/html: This three-part class will go over the basics
of controlling brushless dc motors (BLDC). \; As microcontrollers bec
ome more powerful and come with enhanced software libraries\, controlling
BLDC motors can be easily done without becoming a motor expert. \;This
class will provide a fundamental overview of different control techniques
and introduce software tools that make the control easy.
Part II: Trapezoidal (Six-Step) BLDC Control
Trapez
oidal control is the simpler control for BLDC motor. This class will focus
on how this control is implemented on a microcontroller while giving a re
al example of this implementation. \;We will also cover how to reduce
design cost by replacing hardware sensors with software sensors. \; STMicroelectronics will give away up t
o 100 Motor Control Nucleo Pack kits (P-NUCLEO-IHM002 (Value $48.90)\, whi
ch contain the NUCLEO-F302R8 and X-NUCLEO-IHM07M1\, the power supply and a
three-phase BLDC motor) to registrants who would like to develop interest
ing\, unusual\, exciting\, or innovative applications.
(En
tries will be judged on the creativity of the to-be-built application and
the number of ST components involved. The contest is limited to USA reside
nts and not open to ST employees or members of their families.)
 \; REGISTER
CATEGORIES:Education
UID:20170208T1623250Z-511394-1182@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170302T150000
DTEND;TZID=America/Sao_Paulo:20170302T160000
SUMMARY:Lead Tomorrow’s Innovations with Solid State Drives
DESCRIPTION:Summary Data storage is a critical element in delivering your c
omplete end-to-end solution. You need a data strategy that allows you to a
ccess analysis and instruction execution on demand. But you also need to a
rchive your data to maximize efficiencies and reduce cost. Disruptive Int
el SSD technologies that include NVMe\, 3D NAND and 3D XPoint™ offer you u
nmatched performance\, stability\, and an overall excellent customer exper
ience. Join Zhdan Bybin\, Intel SSD Senior Application Engineer for this t
echnical course that will cover best practices for achieving overall optim
ized system performance which includes available tools you can implement t
oday. Registrants will Learn: Tuning storage subsystem to increase overall
IOPS Increasing data base reliability with Intel SSDs Protecting sensitiv
e data against Silent data Corruption Boosting system performance with Opt
ane REGISTER
X-ALT-DESC;FMTTYPE=text/html: Data storage is a critical element in delivering your complete end-to-e
nd solution. You need a data strategy that allows you to access analysis a
nd instruction execution on demand. But you also need to archive your data
to maximize efficiencies and reduce cost. \; Disruptive Intel SSD tec
hnologies that include NVMe\, 3D NAND and 3D XPoint&trade\; offer you unma
tched performance\, stability\, and an overall excellent customer experien
ce. Join Zhdan Bybin\, Intel SSD Senior Application Engineer for this tech
nical course that will cover best practices for achieving overall optimize
d system performance which includes available tools you can implement toda
y. Registrants will Learn:
- Tuning storage subsystem to increase o
verall IOPS
- Increasing data base r
eliability with Intel SSDs
- Protect
ing sensitive data against Silent data Corruption
- Boosting system performance with Optane
REGISTER  \;
CATEGORIES:Education
UID:20170208T1627310Z-511394-1184@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170529T120000
DTEND;TZID=America/Sao_Paulo:20170529T130000
SUMMARY:What can you accomplish with CAN-FD? - Part II - CAN Stack Porting
and Secure Bootloaders
DESCRIPTION:TWO-PART WEBINAR SERIES | 60 MINUTES EACH Based on the high-per
formance ARM® Cortex®-M4 core\, the LPC54000 series of single-core and dua
l-core MCUs represents the next-generation of industry-leading power effic
iency. With added scalability and feature integration\, these breakthrough
devices enable continued market growth in today's competitive environment
. Join CAN bus experts from Embedded Systems Academy in this webinar serie
s who will cover: The improvements and benefits of CAN-FD over classic CAN
Requirements for an implementation of secure and non-secure bootloaders i
n CAN and CAN-FD systems How to leverage this technology on LPC54000 MCUs
Part II: CAN stack porting and secure bootloaders REGISTER
X-ALT-DESC;FMTTYPE=text/html:TWO-PART WEBINAR
SERIES | 60 MINUTES EACH Based on the high-performance ARM®\; Cortex®\;
-M4 core\, the LPC54000 series of single-core and dual-core MCUs represent
s the next-generation of industry-leading power efficiency. With added sca
lability and feature integration\, these breakthrough devices enable conti
nued market growth in today's competitive environment. Join CAN bus experts from Embedded Systems Academy in
this webinar series who will cover: - The improvements and ben
efits of CAN-FD over classic CAN
- Requirements for an implementatio
n of secure and non-secure bootloaders in CAN and CAN-FD systems
li>
- H
ow to leverage this technology on LPC54000 MCUs
Part II: CAN stack porting and se
cure bootloaders REGISTER
CATEGORIES:General
UID:20170505T1603240Z-511394-1359@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170425T150000
DTEND;TZID=America/Sao_Paulo:20170425T160000
SUMMARY:Boosting Confidence in Property Verification Results with VC Formal
DESCRIPTION:Formal Property verification is gaining a lot of traction in re
cent years due to a) An ever-increasing challenge to verify all possible c
orner-case behaviors and b) Industry adoption/acknowledgement of the power
of assertion based verification. The user base for property verification
is not limited to a handful of formal experts but has extended to the real
m of simulation-based verification users and designers. This increase in a
rather diverse user base puts the spotlight on the most fundamental\, “mu
st-have” requirement for every verification engineer/manager -- “How does
one measure or quantify formal verification?” - A question answered with s
imulation-based verification using coverage metrics. In this webinar\, we
will showcase VC Formal’s capabilities\, which include allowing users to q
uantify formal progress at a granular level\, in order to address the 4 ba
sic questions leading to formal signoff: How much of my design is covered
by the list of checkers? Is my formal test bench over constrained? Are pro
of depths from inconclusive results good enough to catch potential design
bugs? Do the full proofs cover the design logic that was intended to cover
? We will rely on existing simulation based verification coverage targets
ie: line coverage\, condition coverage\, FSM coverage\, to measure the RTL
targets that are hit based upon the formal test bench. Register
X-ALT-DESC;FMTTYPE=text/html: Formal
Property verification is gaining a lot of traction in recent years due to
a) An ever-increasing challenge to verify all possible corner-case behavio
rs and b) Industry adoption/acknowledgement of the power of assertion base
d verification. The user base for property verification is no
t limited to a handful of formal experts but has extended to the realm of
simulation-based verification users and designers. This increase in a rath
er diverse user base puts the spotlight on the most fundamental\, &ldquo\;
must-have&rdquo\; requirement for every verification engineer/manager -- &
ldquo\;How does one measure or quantify formal verification?&rdquo\; - A q
uestion answered with simulation-based verification using coverage metrics
. In this webinar\, we will showcase VC Formal&rsquo\;s capab
ilities\, which include allowing users to quantify formal progress at a gr
anular level\, in order to address the 4 basic questions leading to formal
signoff: - How much of my desi
gn is covered by the list of checkers?
- Is my formal test bench o
ver constrained?
- Are proof depths from inconclusive results good
enough to catch potential design bugs?
- Do the full proofs cover
the design logic that was intended to cover?
We will rely on existing simulation based verifica
tion coverage targets ie: line coverage\, condition coverage\, FSM coverag
e\, to measure the RTL targets that are hit based upon the formal test ben
ch. Register
CATEGORIES:Education
UID:20170420T1738070Z-511394-1346@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200916T150000
DTEND;TZID=America/Sao_Paulo:20200916T160000
SUMMARY:Learning from COVID: Driving a simulation-based culture from produc
t ideation to production
DESCRIPTION: Adapting to this new virtual and remote reality is pushing
medical device companies to rapidly modernize their processes by embracing
digitalization and Industry 4.0 technologies. How can this approach be im
plemented to virtually drive the design and production processes of a medi
cal ventilator? During this webinar\, you will learn how a wide array of t
echnologies can drive the design and production processes of the Medtronic
PB560 ventilator. Register for this Free Webinar Now!
X-ALT-DESC;FMTTYPE=text/html: \;  \; Adapting to
this new virtual and remote reality is pushing medical device companies to
rapidly modernize their processes by embracing digitalization and Industr
y 4.0 technologies. How can this approach be implemented to virtually driv
e the design and production processes of a medical ventilator? During this
webinar\, you will learn how a wide array of technologies can drive the d
esign and production processes of the Medtronic PB560 ventilator.
p>  \; Register for this Free Webinar Now!
CATEGORIES:Education
UID:20200910T1420590Z-511394-2255@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200922T100000
DTEND;TZID=America/Sao_Paulo:20200922T110000
SUMMARY: Introdução à Rádio Frequência - Sessão 3
DESCRIPTION: INSCREVA-SE Olá! Dando continuidade a nossa série s
obre Fundamentos da Rádio Frequência\, a Rohde & Schwarz do Brasil convida
você a assistir à 3a sessão\, 'Sinais e Ruído'\, a ser realizada no dia 2
2 de setembro\, às 10:00 (horário de Brasília). Discutiremos o modelo bási
co de sinais e os diferentes tipos de modulação não intencional. Serão apr
esentados matematicamente o ruído térmico e o ruído de fase e como eles af
etam a performance do sinal. Ao final da sessão\, daremos instruções para
que você possa realizar a configuração ideal nos seus testes e medições de
ruído.
X-ALT-DESC;FMTTYPE=text/html: \;  \;
 \; | Olá\;!
Dando continuidade
a nossa sé\;rie sobre Fundamentos da Rá\;dio Frequê\;ncia
\, a Rohde &\; Schwarz do Brasil convida você\; a assistir à
\; 3a sessã\;o\, 'Sinais e Ruí\;do'\, a ser realizada no dia 2
2 de setembro\, à\;s 10:00 (horá\;rio de Brasí\;lia).
Discutiremos o modelo bá\;sico de sinais e os diferentes ti
pos de modulaç\;ã\;o nã\;o intencional. Serã\;o ap
resentados matematicamente o ruí\;do té\;rmico e o ruí\;
do de fase e como eles afetam a performance do sinal. Ao final da sess&ati
lde\;o\, daremos instruç\;õ\;es para que você\; possa rea
lizar a configuraç\;ã\;o ideal nos seus testes e mediç\;
õ\;es de ruí\;do.
|
|  \; |
CATEGORIES:Education
UID:20200917T2311120Z-511394-2280@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170523T160000
DTEND;TZID=America/Sao_Paulo:20170523T170000
SUMMARY:Addressing the OT and IT Data Integration Challenge in Next Generat
ion IIoT and Industrie 4.0 Systems
DESCRIPTION: Sponsored by: REGISTER NOW Connecting operational systems wit
h the higher-level IT or cloud systems is a key challenge facing the next
generation of Industrial IoT and Industrie 4.0 systems. IIoT systems repre
sent a convergence between the Operational Technology (OT) and Information
Technology (IT) worlds. Both domains have traditionally deployed technolo
gies specific to their own use-cases with little need for integration betw
een the underlying infrastructures. This is certainly no longer the case a
nd the IIoT is blurring the boundaries between systems. For companies to l
everage the benefits from the huge increase in new data generated by conne
cted assets\, information must be able to flow efficiently and in real-tim
e to wherever in a system the applications can extract maximum value from
the data\, either at the edge of a system or in the cloud. Current approac
hes typically involve building bespoke interfaces and protocol bridges whi
ch are costly to build\, costly to maintain\, are not portable and have li
mited re-use. This approach does not scale and is compounded by the vast a
rray of OT protocols (e.g. MODBUS\, Profibus\, EtherCAT\, CANopen\, Ethern
et/IP\, OPC UA etc.) and high level IT protocols (MQTT\, HTPP\, AMQP\, DDS
etc.) that may need to interoperate. Join us as IIoT experts as we discus
s the problem\, review current approaches and their limitations\, and find
out how industry leaders are responding to the challenge with a new gener
ation of technologies focused on making seamless OT/IT convergence a reali
ty. Speaker: Toby McClean\, Chief Solutions Architect\, PrismTech Moderato
r: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; | | Sponsored by: REGISTER NOW | <
/table> Connecting operational systems with t
he higher-level IT or cloud systems is a key challenge facing the next gen
eration of Industrial IoT and Industrie 4.0 systems. IIoT systems represen
t a convergence between the Operational Technology (OT) and Information Te
chnology (IT) worlds. Both domains have traditionally deployed technologie
s specific to their own use-cases with little need for integration between
the underlying infrastructures. This is certainly no longer the case and
the IIoT is blurring the boundaries between systems. For companies
to leverage the benefits from the huge increase in new data generated by
connected assets\, information must be able to flow efficiently and in rea
l-time to wherever in a system the applications can extract maximum value
from the data\, either at the edge of a system or in the cloud. Current ap
proaches typically involve building bespoke interfaces and protocol bridge
s which are costly to build\, costly to maintain\, are not portable and ha
ve limited re-use. This approach does not scale and is compounded by the v
ast array of OT protocols (e.g. MODBUS\, Profibus\, EtherCAT\, CANopen\, E
thernet/IP\, OPC UA etc.) and high level IT protocols (MQTT\, HTPP\, AMQP\
, DDS etc.) that may need to interoperate. Join us as IIoT experts
as we discuss the problem\, review current approaches and their limitatio
ns\, and find out how industry leaders are responding to the challenge wit
h a new generation of technologies focused on making seamless OT/IT conver
gence a reality. | <
p style='color: #222222\;'>Speaker: Toby McClean\,
Chief Solutions Architect\, PrismTech Moderator: \; Curt Schwaderer\, OpenSystems Media
p> | |  \;
p>
CATEGORIES:Education
UID:20170420T1742590Z-511394-1349@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170426T150000
DTEND;TZID=America/Sao_Paulo:20170426T160000
SUMMARY:Applying Wiring Harness Changes Without Damaging Your Electric Syst
em Design Flow
DESCRIPTION: When an engineering change must be made to a wiring harness—a
nd let’s face it\, there is always going to be late-stage changes—it means
you will make a change in multiple design steps. This Tech Talk discusses
the challenges of applying changes and how to better handle them. For exa
mple\, you might need to change an electric component that drives more cur
rent than the previous one. A change such as this can cause issues through
out your design flow: System Design\, Wiring Design\, and the Harness Desi
gn. The resulting inconsistencies lead to poor quality and prevent you fro
m achieving your design cycle goals. This Tech Talk also investigates i
mproved methodologies and innovative solutions to propagate and validate e
ngineering changes automatically and provides an opportunity for the audie
nce to ask questions. Register
X-ALT-DESC;FMTTYPE=text/html:  \; When an engineering change must be made to a wiring harnes
s&mdash\;and let&rsquo\;s face it\, there is always going to be late-stage
changes&mdash\;it means you will make a change in multiple design steps.
This Tech Talk discusses the challenges of applying changes and how to bet
ter handle them. For example\, you might need to change an electric compon
ent that drives more current than the previous one. A change such as this
can cause issues throughout your design flow: System Design\, Wiring Desig
n\, and the Harness Design. The resulting inconsistencies lead to poor qua
lity and prevent you from achieving your design cycle goals. \;
 \; This Tech Talk also investigates improved methodol
ogies and innovative solutions to propagate and validate engineering chang
es automatically and provides an opportunity for the audience to ask quest
ions. Register
p>  \;
CATEGORIES:Education
UID:20170420T1739430Z-511394-1347@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170411T160000
DTEND;TZID=America/Sao_Paulo:20170411T170000
SUMMARY:Ecosystems: A Critical Component to Successful Development of IoT A
pplications
DESCRIPTION: IoT applications require some development expertise that is s
ignificantly different from those required in a traditional embedded appli
cation. For example\, the UI will typical reside on a mobile device rather
than on the device itself. Most obviously\, the device will need to conne
ct to an IoT platform\, which in turn will collect and analyze data. In ad
dition\, the IoT is encouraging many companies with no previous embedded d
evelopment background to investigate embedded sensor-based solutions. As a
result\, embedded system integrators and product design houses are also a
n important component an IoT. In this session\, we will look at various
IoT application developments flows and examine the underlying ecosystem re
quired to support them\, as well as understand the diversity of choice of
IoT platforms and mobile SDKs that are required to support a broad range o
f IoT applications. REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; IoT applications require some development expertise that is significant
ly different from those required in a traditional embedded application. Fo
r example\, the UI will typical reside on a mobile device rather than on t
he device itself. Most obviously\, the device will need to connect to an I
oT platform\, which in turn will collect and analyze data. In addition\, t
he IoT is encouraging many companies with no previous embedded development
background to investigate embedded sensor-based solutions. As a result\,
embedded system integrators and product design houses are also an importan
t component an IoT.  \; In this session\, we will look at various Io
T application developments flows and examine the underlying ecosystem requ
ired to support them\, as well as understand the diversity of choice of Io
T platforms and mobile SDKs that are required to support a broad range of
IoT applications.  \; REGISTER  \;
CATEGORIES:Education
UID:20170406T1404590Z-511394-1310@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170525T160000
DTEND;TZID=America/Sao_Paulo:20170525T170000
SUMMARY:Security Medical Devices in the IoT
DESCRIPTION: Reality - Lives and businesses depend on medical device softw
are and the IoT world we now live in makes the risks even greater to the g
rowing class of connected medical devices and the larger healthcare system
as a whole. We don’t want to think about it but imagine the possibility o
f an infusion pump\, dialysis machine\, oncology system\, or even a defibr
illator being hacked. The FDA has released the “Postmarket Management of C
ybersecurity in Medical Devices Final Guidance” which informs medical devi
ce manufacturers of the FDA’s recommendations for managing postmarket cybe
rsecurity vulnerabilities for marketed and distributed medical devices. Th
is is definitely a step forward in protecting our overall healthcare syste
m but as noted by the FDA device manufacturers also are encouraged to addr
ess cybersecurity throughout the product lifecycle of the device. This web
inar will present a cohesive solution for doing just that - developing sec
ure medical device software\, spotlighting common risks and vulnerabilitie
s and the methods to mitigate those risks leveraging proven techniques and
technologies in high assurance software. From risks and vulnerabilities t
o requirements\, to design\, verification\, and deployment\, this webinar
will cover the key aspects in developing secure medical device software Sp
eaker: Shan Bhattacharya\, Director\, Business Development\, LDRA Moderato
r: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| |
Reality - Lives and businesses depend on medical device soft
ware and the IoT world we now live in makes the risks even greater to the
growing class of connected medical devices and the larger healthcare syste
m as a whole. We don&rsquo\;t want to think about it but imagine the possi
bility of an infusion pump\, dialysis machine\, oncology system\, or even
a defibrillator being hacked. The FDA has released the &ldquo\;Postmarket
Management of Cybersecurity in Medical Devices Final Guidance&rdquo\; whic
h informs medical device manufacturers of the FDA&rsquo\;s recommendations
for managing postmarket cybersecurity vulnerabilities for marketed and di
stributed medical devices. This is definitely a step forward in protecting
our overall healthcare system but as noted by the FDA device manufacturer
s also are encouraged to address cybersecurity throughout the product life
cycle of the device. This webinar will present a cohesive solution
for doing just that - developing secure medical device software\, spotlig
hting common risks and vulnerabilities and the methods to mitigate those r
isks leveraging proven techniques and technologies in high assurance softw
are. From risks and vulnerabilities to requirements\, to design\, verifica
tion\, and deployment\, this webinar will cover the key aspects in develop
ing secure medical device software | Speaker: Shan Bhattacharya\, Director\, Business Development\, LDRA Moderator: \; Brandon Lewis
\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20170505T1608300Z-511394-1361@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170405T130000
DTEND;TZID=America/Sao_Paulo:20170405T140000
SUMMARY:Label Compliance Challenges for Small and Medium Sized Medical Devi
ce Companies
DESCRIPTION:Why this webcast is important When it comes to medical device l
abeling and traceability\, the smallest companies have the same obligation
s and requirements as companies many times larger\, but have to meet them
with few resources and within the tightest of budgets. The size of the org
anization does not matter — the FDA and other regulatory bodies do not dra
w distinctions. Many small and medium sized companies (SMEs) in this sec
tor have met these labeling challenges in innovative ways that work for th
em at the moment... The problem is this invariably involves manual record
keeping\, multiple physical copies of labels to prove change control and w
ill inevitably consumes large amounts of time. Time you don’t have. Tech
nology can help — but it depends on how it is used. The session will add
ress\, among other things: How building and maintaining compliant labels i
n the cloud can be cost effective How using this type of technology will s
ave you valuable time How you will reduce risk of product recalls resultin
g from labeling errors How this approach addresses security\, reliability
and performance concerns You will also hear from an SME in this industry\,
Global Quality Systems International (GQSI) that has already adopted SaaS
for management of their clean room and non-sterile UDI medical device pac
kaging\, labeling and re-labeling service. By the end of the webinar you w
ill be able to make an informed decision on whether pursuing a SaaS soluti
on will work for you. Register today and find out if the cloud is for yo
u Who will benefit Managing Directors Business owners Regulatory Affairs
Compliance Labeling Production Operations Business Development
X-ALT-DESC;FMTTYPE=text/html:Why this webcast
is important When i
t comes to medical device labeling and traceability\, the smallest compani
es have the same obligations and requirements as companies many times larg
er\, but have to meet them with few resources and within the tightest of b
udgets. The size of the organization does not matter &mdash\; the FDA and
other regulatory bodies do not draw distinctions.  \; Many small and
medium sized companies (SMEs) in this sector have met these labeling chal
lenges in innovative ways that work for them at the moment... The problem
is this invariably involves manual record keeping\, multiple physical copi
es of labels to prove change control and will inevitably consumes large am
ounts of time. Time you don&rsquo\;t have.  \; Technology can help &
mdash\; but it depends on how it is used.  \; The session will address\, among other things: - How building and maintaining compliant labels in the cl
oud can be cost effective
- How using this type of technology will
save you valuable time
- How you will reduce risk of product reca
lls resulting from labeling errors
- How this approach addresses s
ecurity\, reliability and performance concerns
You will also hear from an SME in this industry\, Globa
l Quality Systems International (GQSI) that has already adopted SaaS for m
anagement of their clean room and non-sterile UDI medical device packaging
\, labeling and re-labeling service. By the end of the webinar you will be
able to make an informed decision on whether pursuing a SaaS solution wil
l work for you.  \; Register today and find o
ut if the cloud is for you  \;
p> Who will benefit - Managing Directors
- Business owners
- Regulatory Affairs
- Compliance
- Labeling
- Production
- Operati
ons
- Business Development
CATEGORIES:Education
UID:20170321T1326570Z-511394-1272@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170510T160000
DTEND;TZID=America/Sao_Paulo:20170510T170000
SUMMARY:2014 to 2016: The Most Dynamic Years in the Semiconductor Industry
in History
DESCRIPTION:Summary We will discuss the significant changes to the manufact
uring landscape resulting from changes in the Semiconductor Industry over
the past two years. BONUS: Registrants will receive SourceToday’s compreh
ensive list of Mergers & Acquisitions in the electronics industry since 20
14 via a email after the webinar. 2014 to 2016 will without a doubt be rem
embered as the years with the most consolidation in the history of the Sem
iconductor industry. From announcements such as the acquisition of Hittite
Microwave by Analog Devices for $2.45 Billion in 2014\, to the $40 Billio
n merger of NXP and Freescale Semiconductors in 2015\, to the $47 Billion
acquisition of NXP by Qualcomm\, some of the largest semiconductor acquisi
tions and mergers in history occurred during this short\, two-year span. T
he number of companies involved and the financial scale is unprecedented.
In this webinar we explore what appears to be driving all this acquisitio
n and merger activity among semiconductor companies. Explanations include:
Companies looking toward acquisitive growth versus organic growth to exte
nd their strong performance and keep stock prices and investor value on an
upward trend. Increased interest in improving product portfolio by offeri
ng a more complete solution to customers with more integrated systems. A d
esire to create more steady revenue year around with less seasonal spikes.
An attempt to improve margin and/or market share by buying competitors or
selling off less profitable business lines. Unfortunately\, all this acqu
isition\, merger and product line sales creates risk and complexity for co
mpanies as they struggle to understand the supply chain availability of th
e electronic parts they depend on to manufacturer their products. Addition
ally\, these trends create significant changes in the manufacturing landsc
ape: top manufacturers have seen tremendous revenue growth and market shar
e has steadily increased for the top five manufacturers of specific device
types. Register Today!
X-ALT-DESC;FMTTYPE=text/html:Summary
We will discuss the significant changes
to the manufacturing landscape resulting from \;changes in the Semico
nductor Industry over the past two years. \; BONUS: Registrants will receive SourceToday&rsquo\;s
comprehensive list of Mergers &\; Acquisitions in the electronics indus
try since 2014 via a email after the webinar. 2014 to 2016 will without a doubt be remembered as the
years with the most consolidation in the history of the Semiconductor indu
stry. From announcements such as the acquisition of Hittite Microwave by A
nalog Devices for $2.45 Billion in 2014\, to the $40 Billion merger of NXP
and Freescale Semiconductors in 2015\, to the $47 Billion acquisition of
NXP by Qualcomm\, some of the largest semiconductor acquisitions and merge
rs in history occurred during this short\, two-year span. The number of co
mpanies involved and the financial scale is unprecedented.  \;In this webinar we explore what appears to b
e driving all this acquisition and merger activity among semiconductor com
panies. Explanations include: - Companies looking toward acquisitive growth versus organic growth to exte
nd their strong performance and keep stock prices and investor value on an
upward trend.
- Increased interest in improving product portfolio
by offering a more complete solution to customers with more integrated sy
stems.
- A desire to create more steady revenue year around with l
ess seasonal spikes.
- An attempt to improve margin and/or market
share by buying competitors or selling off less profitable business lines.
Unfortunately\, all this ac
quisition\, merger and product line sales creates risk and complexity for
companies as they struggle to understand the supply chain availability of
the electronic parts they depend on to manufacturer their products. Additi
onally\, these trends create significant changes in the manufacturing land
scape: top manufacturers have seen tremendous revenue growth and market sh
are has steadily increased for the top five manufacturers of specific devi
ce types.  \; \; \; 
\; \; \; \; \; \; \; \; \; \; \;&n
bsp\; \; \; \; \; \; \; \; \; Register Today!
CATEGORIES:Education
UID:20170505T1736370Z-511394-1378@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170511T130000
DTEND;TZID=America/Sao_Paulo:20170511T140000
SUMMARY:Desenvolva sua distribuição de Linux Embarcado para processadores N
XP i.MX com Yocto Project – Parte 2 Avançado
DESCRIPTION:Olá\, Registre-se gratuitamente para nosso webinar 'Desenvo
lva sua distribuição de Linux Embarcado para processadores NXP i.MX com Yo
cto Project – Parte 2 Avançado'. Interessado em preparar uma imagem de Lin
ux customizada para seu produto? Os engenheiros de aplicação da Toradex\,
Raul Muñoz e Leonardo Veiga\, demonstrarão como você pode utilizar o Yocto
Project e suas ferramentas para configurar\, construir e manter uma image
m de Linux especializada – incluindo o bootloader\, Kernel\, root filesyst
em e pacotes de software. O Webinar será realizado em duas partes. A parte
2 aborda tópicos avançados\, incluindo a criação de sua própria meta laye
r\, receitas e imagens. Aprenda a customizar a imagem do Linux em um cenár
io hipotético de desenvolvimento – transformando a imagem padrão da Torade
x com o objetivo de habilitar suporte a recursos externos\, modificar a se
leção de pacotes disponíveis e configurar recursos do sistema. Nota: Ao fi
nal do webinar haverá tempo para perguntas e respostas REGISTRE-SE
X-ALT-DESC;FMTTYPE=text/html:Olá\;\,
&nbs
p\; |  \; |
Registre-se gratuitamente para nosso webinar 'Desenvolva sua distribuiç\;ã\;o de Linux Embarcado para pro
cessadores NXP i.MX com Yocto Project &ndash\; Parte 2 Avanç\;ado'
a>. Inter
essado em preparar uma imagem de Linux customizada para seu produto? Os en
genheiros de aplicaç\;ã\;o da Toradex\, Raul Muñ\;oz e L
eonardo Veiga\, demonstrarã\;o como você\; pode utilizar o Yoct
o Project e suas ferramentas para configurar\, construir e manter uma imag
em de Linux especializada &ndash\; incluindo o bootloader\, Kernel\, root
filesystem e pacotes de software. O Webinar será\; realizado em duas
partes. A parte 2 aborda tó\;picos av
anç\;ados\, incluindo a criaç\;ã\;o de sua pró\;pr
ia meta layer\, receitas e imagens. Aprenda a customizar a imagem do Linux
em um cená\;rio hipoté\;tico de desenvolvimento &ndash\; tran
sformando a imagem padrã\;o da Toradex com o objetivo de habilitar s
uporte a recursos externos\, modificar a seleç\;ã\;o de pacote
s disponí\;veis e configurar recursos do sistema. Nota: Ao final do webinar haverá\; tempo para perguntas e
respostas |  \; REGISTRE-SE
CATEGORIES:Education
UID:20170505T1626310Z-511394-1368@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170718T140000
DTEND;TZID=America/Sao_Paulo:20170718T150000
SUMMARY:Exploring Industrial IoT – What makes it Different?
DESCRIPTION: These days\, almost anything involving a device connected t
o a mobile or cloud application is being called an Internet of Things (IoT
) application. Examples like smart home and retail applications leverage a
combination of sensors\, gateways\, and cloud application to implement me
asurement and analysis applications. However\, there is a distinct segment
of IoT involving industrial systems for medical\, transportation\, energy
\, and others where reliability\, availability are critical. What are the
distinguishing characteristics of Industrial IoT? What are important consi
derations for industrial IoT that may not be as important for other IoT ap
plications? Join us as our panel of experts in Industrial IoT discuss dist
inguishing characteristics\, important elements\, and unique implementatio
n and deployment strategies for Industrial IoT. Sponsors: PTC\, Red Hat\
, and RTI Moderator: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; | | These days\, almost anything involving a device connected to a mobil
e or cloud application is being called an Internet of Things (IoT) applica
tion. Examples like smart home and retail applications leverage a combinat
ion of sensors\, gateways\, and cloud application to implement measurement
and analysis applications. However\, there is a distinct segment of IoT i
nvolving industrial systems for medical\, transportation\, energy\, and ot
hers where reliability\, availability are critical. What are the distingui
shing characteristics of Industrial IoT? What are important considerations
for industrial IoT that may not be as important for other IoT application
s? Join us as our panel of experts in Industrial IoT discuss disti
nguishing characteristics\, important elements\, and unique implementation
and deployment strategies for Industrial IoT.  \; | <
td style='font-size: 14px\; line-height: 20px\; background-color: #fff\; p
adding: 20px\;' colspan='2'> Sponsors
: PTC\, Red Hat\, and RTI
Moderator: \; Curt Schwaderer\, OpenSystems Medi
a <
a style='color: #fff\; text-decoration: none\;' href='http://email.opensys
temsmedia.com/MF0N0y0zIn8By00Z504Y0V2' target='_blank'>REGISTER NOW | |  \
;
CATEGORIES:Education
UID:20170505T1703090Z-511394-1370@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170517T130000
DTEND;TZID=America/Sao_Paulo:20170517T140000
SUMMARY:Principles of color-based identification for complex vision applica
tions
DESCRIPTION:In a free webcast on May 17\, Dr. Robert McConnell\, President
of WAY-2C will provide detailed information on color theory\, the types of
methods that can be used\, and how successful robust\, automated identifi
cation of complex items can be accomplished using tools based on probabili
ty and information theory. What You'll Learn: Basics of color and colo
r theory How color images can be used for identification New developments
in color methods Present applications of color-based identification Who Sh
ould Attend: Everyone wanting to learn about color-based identification. S
cientists\, engineers\, designers\, and managers Current developers who re
quire a more in-depth understanding of the underlying technology Those con
sidering using color cameras and color image processing software in future
projects End users\, integrators & OEMs
X-ALT-DESC;FMTTYPE=text/html:In a free webcast on May 17\,
Dr. Robert McConnell\, President of WAY-2C will provide detailed informat
ion on color theory\, the types of methods that can be used\, and how succ
essful robust\, automated identification of complex items can be accomplis
hed using tools based on probability and information theory.  \; What You'll Learn:  \; - Basics of color and color th
eory
- How color images can be used for identification
- New developments in color m
ethods
- Present applications of color-based identification
Who Should Attend: <
br /> - Everyone wanti
ng to learn about color-based identification.
- S
cientists\, engineers\, designers\, and managers
- Current developers who require a more in-depth understanding of the unde
rlying technology
- Those considering using color
cameras and color image processing software in future projects
- End users\, integrators &\; OEMs
<
p> \;  \; <
/p>
CATEGORIES:Education
UID:20170505T1720420Z-511394-1372@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170517T160000
DTEND;TZID=America/Sao_Paulo:20170517T170000
SUMMARY:Using patterns in real-time data for operational excellence
DESCRIPTION: The mountains of real-time data being produced in the digital
ly transformed factory offer huge benefits. But tapping into that data and
finding actionable patterns that can offer operational benefits can be tr
icky. Here’s a solution… join Paul Studebaker\, editor in chief of our s
ister publication Control Global\, and Nikunj Mehta\, CEO/founder of Falko
nry\, to learn about recognizing patterns in data and turning that insight
into action. This May 17 webinar explores how manufacturing and utiliti
es companies can uncover new ways to work with existing automation and con
trol architectures to provide predictive insights using time-based pattern
recognition\, fed from real-time data. Learn what pattern recognition i
s all about and how it can help your daily operations Determine when you w
ill see real benefits from this strategy Uncover how easy is it to get sta
rted and hear case studies of how your peers have used this approach in th
eir operations Would you like to use pattern-recognition technology to p
redict downtime while maximizing up-time\, yield and quality? Of course! R
EGISTER NOW!
X-ALT-DESC;FMTTYPE=text/html:  \; The mountains of real-time data being produced in the digitally transfor
med factory offer huge benefits. But tapping into that data and finding ac
tionable patterns that can offer operational benefits can be tricky.
 \; Here&rsquo\;s a solution&h
ellip\; join Paul Studebaker\, editor in chief of our sister publication C
ontrol Global\, and Nikunj Mehta\, CEO/founder of Falkonry\, to learn abou
t recognizing patterns in data and turning that insight into action.
 \; This May 17 webinar explores how manufacturing and utilities
companies can uncover new ways to work with existing automation and contr
ol architectures to provide predictive insights using time-based pattern r
ecognition\, fed from real-time data.  \; - Learn
what pattern recognition is all about and how it can help your daily oper
ations
- Determine when you will see real benefits from this strat
egy
- Uncover how easy is it to get started and hear case studies
of how your peers have used this approach in their operations
 \; Would you like to use pat
tern-recognition technology to predict downtime while maximizing up-time\,
yield and quality? Of course! REGISTER NOW!
CATEGORIES:Education
UID:20170505T1722240Z-511394-1373@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170517T160000
DTEND;TZID=America/Sao_Paulo:20170517T170000
SUMMARY:Gaining an IoT Competitive Edge
DESCRIPTION: Given the influx of IoT devices flooding the market\, getting
your product to market quickly and having your product stand out against
the competition are key to success. Learning how to design\, build\, deplo
y\, and manage your devices takes time and knowledge. Focus on your iot di
fferentiators and let our ready-built software solutions take care of the
rest. Learn: How Wind River Pulsar Linux allows you to focus on creating d
ifferentiating applications Ways Wind River Helix Device Cloud reduces the
amount of time and resources spent on device management What secure means
to Wind River and how these products help arm you against threats known a
nd unknown How these products have helped real customers speed development
and deployment Speakers: Sean Evoy\, Senior Product Manager\, Device Clou
d\, Wind River Derrick Lavado\, Senior Ecosystem and Channel Manager\, Pul
sar Linux\, Wind River Moderator: Curt Schwaderer\, OpenSystems Media REG
ISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | | Given the influx of IoT devices flooding the market\, getting your
product to market quickly and having your product stand out against the c
ompetition are key to success. Learning how to design\, build\, deploy\, a
nd manage your devices takes time and knowledge. Focus on your iot differe
ntiators and let our ready-built software solutions take care of the rest.
Learn: - How Wind River Pulsar Linux allows you to f
ocus on creating differentiating applications
- Ways Wind River He
lix Device Cloud reduces the amount of time and resources spent on device
management
- What secure means to Wind River and how these product
s help arm you against threats known and unknown
- How these produ
cts have helped real customers speed development and deployment
| Speakers: Sean Evoy\, Senior Product Manage
r\, Device Cloud\, Wind River Derrick Lavado\, Senior Ecosystem and
Channel Manager\, Pulsar Linux\, Wind River Moderator: \; Curt Schwaderer\, OpenSystems M
edia | | &nb
sp\;
CATEGORIES:Education
UID:20170505T1724060Z-511394-1374@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170215T140000
DTEND;TZID=America/Sao_Paulo:20170215T150000
SUMMARY:Machine vision trends for 2017 and the future
DESCRIPTION: In a free webcast on February 15\, David Dechow\, Staff Engin
eer\, Intelligent Robotics/Machine Vision\, FANUC America Corporation\, wi
ll discuss some of the advances in components and software that are poweri
ng recent machine vision industry growth\, along with the applications tha
t are being further enabled by these developments. What You'll Learn:
Using 3D imaging in robotics The latest in camera component trends\, inclu
ding interfaces Smart camera advances Embedded computing in machine vision
Multispectral and hyperspectral imaging and application areas Who Sho
uld Attend: Engineers and engineering managers involved in designing machi
ne vision and image processing systems Those interested in learning about
the latest developments in cutting edge technologies End-users wishing to
understand the impact of novel image processing techniques
X-ALT-DESC;FMTTYPE=text/html: \;
In a free webcast on February 15\, David Dechow\, Staff E
ngineer\, Intelligent Robotics/Machine Vision\, FANUC America Corporation\
, will discuss some of the advances in components and software that are po
wering recent machine vision industry growth\, along with the applications
that are being further enabled by these developments.  \; What You'll Learn
:  \;
- Using 3D imaging in robotics
- The latest in camera comp
onent trends\, including interfaces
- Smart camera advances
Embedded computing in
machine vision - Multispectral and hyperspectral imaging and application areas<
/span>
 \;  \; Who
Should Attend:
- Engineers and engineering manage
rs involved in designing machine vision and image processing systems
- Those interested in learning about the latest devel
opments in cutting edge technologies
- End-users
wishing to understand the impact of novel image processing techniques
 \;
CATEGORIES:Education
UID:20170208T1649340Z-511394-1192@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170216T170000
DTEND;TZID=America/Sao_Paulo:20170216T180000
SUMMARY:Ray Optics Simulations with COMSOL Multiphysics®
DESCRIPTION:In this webinar\, we will discuss what criteria to use when dec
iding whether to use a ray tracing approach or another computational metho
d. We will also cover how to select the appropriate boundary conditions\,
ray release features\, and variables to compute for a given application as
well as how to use built-in evaluation tools to analyze and report simula
tion results. What You'll Learn: How to model ray propagation in optic
ally large systems using COMSOL Multiphysics®: and the add-on Ray Optics M
odule. What criteria to use when deciding whether to use a ray tracing app
roach or another computational method. How to select the appropriate bound
ary conditions\, ray release features\, and variables to compute for a giv
en application. How to use built-in evaluation tools to analyze and report
simulation results. About the Application Library examples that are inclu
ded with the Ray Optics Module. Who Should Attend: Engineers and researche
rs who: Design or use devices such as laser focusing systems\, spectromete
rs\, cameras\, and telescopes. Manipulate light with prisms\, lenses\, bea
m splitters\, or gratings. Design devices to redirect and focus solar radi
ation Register Now!
X-ALT-DESC;FMTTYPE=text/html:In this webinar\, we will dis
cuss what criteria to use when deciding whether to use a ray tracing appro
ach or another computational method. We will also cover how to select the
appropriate boundary conditions\, ray release features\, and variables to
compute for a given application as well as how to use built-in evaluation
tools to analyze and report simulation results.  \; What You'll Learn
:  \; - How to model ray propagation in optically large systems us
ing COMSOL Multiphysics®\;: and the add-on Ray Optics Module.
- What criteri
a to use when deciding whether to use a ray tracing approach or another co
mputational method.
- How to select the appropriate boundary conditions\, ray r
elease features\, and variables to compute for a given application.
- How to us
e built-in evaluation tools to analyze and report simulation results.
- About t
he Application Library examples that are included with the Ray Optics Modu
le.
Who Should <
a href='http://ea.ecn5.com/Clicks/N0h3TFFEc200SVIwbzhaMzZzNGFzRjFDY0kvSUpO
VGRKNDBZb3NhSUF0MnEzQUMrK1N1YU9pWGdzSXBNQVJ3U0c5OFJQVEJSeHFzbnNoTEhYT003R0
E9PQ%3d%3d'>Attend:
Engineers and researchers who:
- Design or use devices such as laser focusing systems\, spectrom
eters\, cameras\, and telescopes.
- Manipulate light with prisms\, lenses\, bea
m splitters\, or gratings.
- Design devices to redirect and focus solar radiati
on
 \;  \;
Register Now!
 \;
CATEGORIES:Education
UID:20170208T1646290Z-511394-1191@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170208T170000
DTEND;TZID=America/Sao_Paulo:20170208T180000
SUMMARY:Connected Autonomous Vehicles on a Mixed Traffic Highway—Speed Harm
onization\, Capacity Analysis\, and Lane Management
DESCRIPTION:T3 Webinars and T3e Webinars are brought to you by the Intellig
ent Transportation Systems (ITS) Professional Capacity Building (PCB) Prog
ram of the U.S. Department of Transportation's (U.S. DOT) ITS Joint Progra
m Office (JPO). References in this webinar to any specific commercial prod
ucts\, processes\, or services\, or the use of any trade\, firm\, or corpo
ration name is for the information and convenience of the public\, and doe
s not constitute endorsement\, recommendation\, or favoring by the U.S. DO
T. Background Connected autonomous vehicles (CAV) technologies will be in
the market in the near future. This requires that transportation systems a
re ready to operate in a mixed traffic environment where a portion of vehi
cles are CAVs and the remaining are human-driven vehicles. This presentati
on includes two topics. First\, a CAV-based microscopic trajectory-smoothi
ng concept is proposed to harmonize traffic and improve mobility and envir
onmental impacts. The proposed algorithm can be used to prevent or mitigat
e traffic speed drops near highway bottlenecks. The second topic investiga
tes how different features of CAVs and corresponding lane management polic
ies can improve throughput capacity of mixed traffic from a macroscopic pe
rspective. An analytical model is proposed to quantify mixed traffic highw
ay capacity. The proposed capacity analysis is then extended to a managed
lane model to determine the optimal number of lanes to be allocated to CAV
s. Financially\, numerical examples are presented to investigate three dif
ferent CAV technology scenarios: neutral\, conservative\, and aggressive C
AV headway settings. Target Audiences The target audiences includes transp
ortation practitioners\, transportation researchers\, and college and grad
uate students. Learning Objectives By the end of the presentation\, the au
dience shall understand the basic concept of CAV-based traffic control as
opposed to traditional infrastructure based control. They shall understand
the rationale and principles for trajectory smoothing. They shall also kn
ow basic approaches to analyze mixed traffic capacity and to decide corres
ponding lane management strategies.
X-ALT-DESC;FMTTYPE=text/html:T3 Webina
rs and T3e Webinars are brought to you by the Intelligent Transportation S
ystems (ITS) Professional Capacity Building (PCB) Program of the U.S. Depa
rtment of Transportation's (U.S. DOT) ITS Joint Program Office (JPO). Refe
rences in this webinar to any specific commercial products\, processes\, o
r services\, or the use of any trade\, firm\, or corporation name is for t
he information and convenience of the public\, and does not constitute end
orsement\, recommendation\, or favoring by the U.S. DOT. Background Connected autonomous vehicles (CAV) technologies
will be in the market in the near future. This requires that transportati
on systems are ready to operate in a mixed traffic environment where a por
tion of vehicles are CAVs and the remaining are human-driven vehicles. Thi
s presentation includes two topics. First\, a CAV-based microscopic trajec
tory-smoothing concept is proposed to harmonize traffic and improve mobili
ty and environmental impacts. The proposed algorithm can be used to preven
t or mitigate traffic speed drops near highway bottlenecks. The second top
ic investigates how different features of CAVs and corresponding lane mana
gement policies can improve throughput capacity of mixed traffic from a ma
croscopic perspective. An analytical model is proposed to quantify mixed t
raffic highway capacity. The proposed capacity analysis is then extended t
o a managed lane model to determine the optimal number of lanes to be allo
cated to CAVs. Financially\, numerical examples are presented to investiga
te three different CAV technology scenarios: neutral\, conservative\, and
aggressive CAV headway settings. Target Audiences The target audiences includes transportation practitioners\, transporta
tion researchers\, and college and graduate students. Learning Objectives By the end of the presentation\, the audience s
hall understand the basic concept of CAV-based traffic control as opposed
to traditional infrastructure based control. They shall understand the rat
ionale and principles for trajectory smoothing. They shall also know basic
approaches to analyze mixed traffic capacity and to decide corresponding
lane management strategies.  \;<
/p>  \;  \;  \;
CATEGORIES:General
UID:20170208T1642420Z-511394-1190@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170214T170000
DTEND;TZID=America/Sao_Paulo:20170214T180000
SUMMARY:IoT Panel Discussion: Many Faces of IoT Connectivity & How to Deal
With Them
DESCRIPTION: IoT encompasses a wide range of embedded\, network\, and cl
oud systems where the value is unlocked by the data gathered from sensor a
nd edge devices that can be used by gateways\, cloud applications\, and an
alytics to drive significant value. However\, communications is not as sim
ple as one protocol\, one service\, one language. And the connectivity is
multi-dimensional involving data transfer\, authentication/security\, and
manageability from application to sensor. Join us as IoT industry experts
pick an application and break down the communications requirements and met
hods for secure\, manageable\, and efficient operation. Sponsors: Ayla N
etworks\, Anaren\, MultiTech\, PTC and RTI Moderator: Curt Schwaderer\, O
penSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \;
IoT encompasses a wide range of embedded\, network\, and cloud systems whe
re the value is unlocked by the data gathered from sensor and edge devices
that can be used by gateways\, cloud applications\, and analytics to driv
e significant value. However\, communications is not as simple as one prot
ocol\, one service\, one language. And the connectivity is multi-dimension
al involving data transfer\, authentication/security\, and manageability f
rom application to sensor. Join us as IoT industry experts pick an
application and break down the communications requirements and methods fo
r secure\, manageable\, and efficient operation.  \; | <
td style='font-size: 14px\; line-height: 20px\; background-color: #fff\; p
adding: 20px\;' colspan='2'> Sponsors
: Ayla Networks\, Anaren\, MultiTech\, PTC and RTI Moderator: \; Curt Schw
aderer\, OpenSystems Media |
CATEGORIES:Education
UID:20170208T1639040Z-511394-1189@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170315T170000
DTEND;TZID=America/Sao_Paulo:20170315T180000
SUMMARY:Unmanned Aircraft and Safety Certification
DESCRIPTION: Autonomous aircraft -- from hand-thrown unmanned aerial v
ehicles (UAVs) to high-flying jet-powered platforms are close to being cer
tified to fly in multiple sections of the national airspace. The main hurd
les remaining are safety related such as properly certifying their hardwar
e and software for flight and convincing the public as well as commercial
pilots they are safe even with certified equipment. Compliance with FAA sa
fety standards such as DO-178 B and C for flight critical software and DO-
254 for hardware is moving forward as the technological expertise is there
. This e-cast of industry experts will discuss the challenges that remain
as well as solutions that will enable safe use of unmanned aircraft in civ
ilian airspace inspire confidence in the flying public. Sponsors: Curtis
s-Wright\, LDRA Moderator: John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;
| |  \; Autonomous
aircraft -- from hand-thrown unmanned aerial vehicles (UAVs) to high-flyin
g jet-powered platforms are close to being certified to fly in multiple se
ctions of the national airspace. The main hurdles remaining are safety rel
ated such as properly certifying their hardware and software for flight an
d convincing the public as well as commercial pilots they are safe even wi
th certified equipment. Compliance with FAA safety standards such as DO-17
8 B and C for flight critical software and DO-254 for hardware is moving f
orward as the technological expertise is there. This e-cast of industry experts will discuss the challenges th
at remain as well as solutions that will enable safe use of unmanned aircr
aft in civilian airspace inspire confidence in the flying public.  \;
td> | Sponsors: Curtiss-Wright\, LDRA Moderator: \; John McHale\, Ope
nSystems Media | |  \;
CATEGORIES:Education
UID:20170208T1652110Z-511394-1193@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170209T140000
DTEND;TZID=America/Sao_Paulo:20170209T150000
SUMMARY:OTIMIZAÇÃO DE PLANTAS INDUSTRIAIS COM EXPERTISE ORTOGONAL
DESCRIPTION:Gostaríamos de convidá-lo(a) a participar de um webinar gratuit
o com duração de 1 hora\, promovido pela Orthogonal Science sobre Otimizaç
ão de Plantas Industriais com Expertise Ortogonal. A empresa Orthogonal Sc
ience atua em Consultoria e Educação Corporativa para empresas industriais
. Neste Webinar\, o Prof. Ricardo Costa apresentará como é possível lidar
com muitas variáveis\, simultaneamente\, para otimizar as performances de
composições\, materiais\, produtos e os processos de manufatura correlatos
\, ponderando-se seus impactos nos custos. O Prof. Ricardo Costa mostrará
como a expertise ortogonal nos conduz a planejar e executar nossas ações p
ráticas\, matricialmente\, para agregar vantagens competitivas inexplorada
s pela empresa\, quando se busca de forma lógica e racional as condições ó
timas. Data: 09 de fevereiro de 2017 Horário: 14 horas (BRST) Confirme já
sua presença - INSCREVA-SE
X-ALT-DESC;FMTTYPE=text/html:Gostarí\;amos de convidá\;-lo(a
) a participar de um webinar gratuito com duraç\;ã\;o de 1 hor
a\, promovido pela Orthogonal Science sobre Otimizaç\;ã\;o de
Plantas Industriais com \;Expertise Ortogonal. A empresa Orthogonal Sc
ience atua em Consultoria e Educaç\;ã\;o Corporativa para empr
esas industriais. Neste Webinar\, o Prof. Ricardo Costa apresentará\
; como é\; possí\;vel lidar com muitas variá\;veis\, sim
ultaneamente\, para otimizar as performances de composiç\;õ\;e
s\, materiais\, produtos e os processos de manufatura correlatos\, pondera
ndo-se seus impactos nos custos. O Prof. Ricardo Costa mostrará\; co
mo a expertise ortogonal nos conduz a planejar e executar nossas aç\
;õ\;es prá\;ticas\, matricialmente\, para agregar vantagens co
mpetitivas inexploradas pela empresa\, quando se busca de forma ló\;
gica e racional as condiç\;õ\;es ó\;timas. Data: 09 \;de fevereiro
de 2017 Horá\;rio: 14 \;horas (BRST) | <
/tr> Con
firme já\; sua presenç\;a - INSCREVA-SE
CATEGORIES:General
UID:20170208T1653590Z-511394-1194@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170606T170000
DTEND;TZID=America/Sao_Paulo:20170606T180000
SUMMARY:IoT Programming with Basic for Android - Day 2
DESCRIPTION:Basic for Android is a Rapid Application Development tool that
takes the pain out of creating Android applications. This series of lectur
es will revolve around creating Basic for Android applications that interf
ace with various IoT devices. The application development processes of the
targeted IoT devices will also be examined and discussed. June 6 – Day 2
– IoT Development Using B4A and B4J B4J is a Rapid Application Development
tool that runs on a personal computer or ARM-based device. Today’s lectur
e will demonstrate the creation of an IoT application that uses the resour
ces of both B4A and B4J. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Basic for Android is a Rapid Application De
velopment tool that takes the pain out of creating Android applications. This series of lectures will revolve around creating Basic for A
ndroid applications that interface with various IoT devices. The applicati
on development processes of the targeted IoT devices will also be examined
and discussed. -
B4J is a Rapid Application Development tool that runs on a persona
l computer or ARM-based device. Today&rsquo\;s lecture will demonstrate th
e creation of an IoT application that uses the resources of both B4A and B
4J. REGISTER
 \;
CATEGORIES:Education
UID:20170602T1851220Z-511394-1402@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170607T170000
DTEND;TZID=America/Sao_Paulo:20170607T180000
SUMMARY:IoT Programming with Basic for Android - Day 3
DESCRIPTION:Basic for Android is a Rapid Application Development tool that
takes the pain out of creating Android applications. This series of lectur
es will revolve around creating Basic for Android applications that interf
ace with various IoT devices. The application development processes of the
targeted IoT devices will also be examined and discussed. June 7 – Day 3
– IoT Development Using B4A and B4R Today\, we will introduce yet another
Rapid Application Development tool\, which is known as B4R. B4R will be us
ed to create an IoT application that runs on an ESP8266-based piece of IoT
hardware. Once we get the ESP8266 IoT app online\, we will utilize B4A to
create a companion Android app. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Basic for Android is a Rapid Application De
velopment tool that takes the pain out of creating Android applications. This series of lectures will revolve around creating Basic for A
ndroid applications that interface with various IoT devices. The applicati
on development processes of the targeted IoT devices will also be examined
and discussed. -
Today\, we will introduce yet another Rapid Application Developmen
t tool\, which is known as B4R. B4R will be used to create an IoT applicat
ion that runs on an ESP8266-based piece of IoT hardware. Once we get the E
SP8266 IoT app online\, we will utilize B4A to create a companion Android
app. REGISTER  \;
CATEGORIES:Education
UID:20170602T1852340Z-511394-1403@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170608T170000
DTEND;TZID=America/Sao_Paulo:20170608T180000
SUMMARY:IoT Programming with Basic for Android - Day 4
DESCRIPTION:Basic for Android is a Rapid Application Development tool that
takes the pain out of creating Android applications. This series of lectur
es will revolve around creating Basic for Android applications that interf
ace with various IoT devices. The application development processes of the
targeted IoT devices will also be examined and discussed. June 8 – Day 4
– IoT Development Using B4A and ARM This fourth lecture in the series targ
ets an ARM device that will ultimately be logically attached to an IoT dev
ice running a B4A-based application. The ARM device is a Raspberry Pi runn
ing code generated by B4J. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Basic for Android is a Rapid Application De
velopment tool that takes the pain out of creating Android applications. This series of lectures will revolve around creating Basic for A
ndroid applications that interface with various IoT devices. The applicati
on development processes of the targeted IoT devices will also be examined
and discussed. -
This fourth lecture in the series targets an ARM device that will
ultimately be logically attached to an IoT device running a B4A-based appl
ication. The ARM device is a Raspberry Pi running code generated by B4J.
div> REGISTER  
\;
CATEGORIES:Education
UID:20170602T1853580Z-511394-1404@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170609T170000
DTEND;TZID=America/Sao_Paulo:20170609T180000
SUMMARY:IoT Programming with Basic for Android - Day 5
DESCRIPTION:Basic for Android is a Rapid Application Development tool that
takes the pain out of creating Android applications. This series of lectur
es will revolve around creating Basic for Android applications that interf
ace with various IoT devices. The application development processes of the
targeted IoT devices will also be examined and discussed. June 9 – Day 5
– IoT Networking We will wrap up our week by passing along commands and da
ta over a chain of various IoT devices programmed using B4A\, B4J and B4R.
REGISTER
X-ALT-DESC;FMTTYPE=text/html: Basic for Android is a Rapid Application De
velopment tool that takes the pain out of creating Android applications. This series of lectures will revolve around creating Basic for A
ndroid applications that interface with various IoT devices. The applicati
on development processes of the targeted IoT devices will also be examined
and discussed. -
We will wrap up our week by pa
ssing along commands and data over a chain of various IoT devices programm
ed using B4A\, B4J and B4R. REGISTER  \;
CATEGORIES:Education
UID:20170602T1854550Z-511394-1405@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170607T160000
DTEND;TZID=America/Sao_Paulo:20170607T170000
SUMMARY:How to Increase Testing Throughput with Virtual Hardware ECUs
DESCRIPTION:The complexity and software content of automotive electronic sy
stems are increasing while the demand for more software and system testing
is growing. This need is being driven by requirements from the ISO 26262
standard and the necessity to reduce software-driven recalls. This 60-minu
te Webinar focuses on the use of Virtual Hardware ECUs to enable automotiv
e Tier 1 and OEM companies to achieve higher testing throughput to reach t
heir software testing and quality objectives. It also examines how to esta
blish a Virtual Hardware ECU and integrate it into an automotive test benc
h (virtual Hardware-in-the-Loop) and discusses how to perform software dev
elopment\, integration\, and test tasks including fault and coverage testi
ng\, as well as regression testing. An audience Q&A follows the technical
presentation. To register and view the Webinar\, click here. http://link.a
bpi.net/cs.php?em=382432f7-200b-476d-8391-2e75a1eb38f0&id=20170603_synopsy
s_txt
X-ALT-DESC;FMTTYPE=text/html: The complexity and software content of auto
motive electronic systems are increasing while the demand for more s
oftware and system testing is growing. This need is being driven by
requirements from the ISO 26262 standard and the necessity to reduce softw
are-driven recalls. This 60-minute Webinar focuses on the use
of Virtual Hardware ECUs to enable automotive Tier 1 and OEM compan
ies to achieve higher testing throughput to reach their software testing a
nd quality objectives. It also examines how to establis
h a Virtual Hardware ECU and integrate it into an automotive test be
nch (virtual Hardware-in-the-Loop) and discusses how to perform software d
evelopment\, integration\, and test tasks including fault and covera
ge testing\, as well as regression testing. An audience Q&
\;A follows the technical presentation. To register and view
the Webinar\, click here. http://link.abpi.n
et/cs.php?em=382432f7-200b-476d-8391-2e75a1eb38f0&\;id=20
170603_synopsys_txt
CATEGORIES:Education
UID:20170603T2355520Z-511394-1423@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170607T160000
DTEND;TZID=America/Sao_Paulo:20170607T170000
SUMMARY:Debugging DC Voltage Lines Using an Oscilloscope
DESCRIPTION: Why this webcast is important Learn about tools and techniq
ues for making power integrity measurements such as ripple\, noise\, spike
s\, compression\, static/dynamic load response and supply induced signal n
oise and signal jitter. Also learn about the effects of oscilloscope noise
\, probe noise\, probe attenuation ratio\, offset range\, input range\, co
nnection technique and measuring supply/signal crosstalk. Who should
attend Design and test engineers. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;  \; Why this webcast is important
span> Learn about tools and techniques for making power integrity me
asurements such as ripple\, noise\, spikes\, compression\, static/dynamic
load response and supply induced signal noise and signal jitter. Also lear
n about the effects of oscilloscope noise\, probe noise\, probe attenuatio
n ratio\, offset range\, input range\, connection technique and measuring
supply/signal crosstalk.
&
nbsp\; | Who should a
ttend Design and test engineers. | |  \;REGISTER NOW \;  \;
CATEGORIES:Education
UID:20170603T2340130Z-511394-1419@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170629T170000
DTEND;TZID=America/Sao_Paulo:20170629T180000
SUMMARY:Making High-Integrity Code Safe and Secure
DESCRIPTION: REGISTER NOW Attend this webcast to learn how automated stati
c code analysis\, using formal methods\, can help you thoroughly and effic
iently verify quality\, reliability\, and security of high-integrity softw
are. MathWorks engineers will provide an overview of Polyspace\, followed
by discussion and Q&A. See how you can use Polyspace to: Find bugs and pro
ve where critical bugs cannot occur (without test cases or instrumentation
) Meet safety standards (including MISRA\, ISO 26262\, and DO-178) and doc
ument compliance Check software security vulnerabilities and standards (su
ch as CWE\, CERT-C\, and ISO 17961) Satisfy regulatory authorities (FAA\,
FDA) with certified / qualifiable tools and certification artifacts Discov
er why development teams around the world have adopted Polyspace to verify
high-integrity code – including Airbus/ESA\, Alenia Aermacchi\, EADS\, Ko
rean Air\, NASA Ames\, NATO Hawk\, and Solar Impulse.
X-ALT-DESC;FMTTYPE=text/html:  \; Attend this webcast to learn how automated
static code analysis\, using formal methods\, can help you thoroughly and
efficiently verify quality\, reliability\, and security of high-integrity
software. MathWorks engineers will provide an overview of Polyspac
e\, followed by discussion and Q&\;A. See how you can use Polyspace to:
- Find bugs and prove where critical bugs cannot occur (with
out test cases or instrumentation)
- Meet safety standards (includ
ing MISRA\, ISO 26262\, and DO-178) and document compliance
- Chec
k software security vulnerabilities and standards (such as CWE\, CERT-C\,
and ISO 17961)
- Satisfy regulatory authorities (FAA\, FDA) with c
ertified / qualifiable tools and certification artifacts
D
iscover why development teams around the world have adopted Polyspace to v
erify high-integrity code &ndash\; including Airbus/ESA\, Alenia Aermacchi
\, EADS\, Korean Air\, NASA Ames\, NATO Hawk\, and Solar Impulse.
 \;
CATEGORIES:Education
UID:20170603T2322480Z-511394-1414@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170615T140000
DTEND;TZID=America/Sao_Paulo:20170615T150000
SUMMARY:Integrated DPD for Small Cell and Massive MIMO
DESCRIPTION:Integrated DPD for Small Cell and Massive MIMO June 15\, 2017 a
t 11AM ET and 5PM CEST Overview: The evolution of small cell and Massive M
IMO base stations has put ever-higher demands on radio power\, size\, and
cost. With a deep understanding of market opportunities and technology pai
n points\, ADI engineers anticipated power-scaling issues facing 4G small
cell & pre-5G Massive MIMO wireless communications and created a solution:
The AD9375 – the world’s first wideband RF transceiver with integrated Di
gital Pre-Distortion (DPD). Who Should Attend: OEMs of small cell or Massi
ve MIMO base stations\; Wireless carriers\; Power Amplifier (PA) manufactu
rers Register
X-ALT-DESC;FMTTYPE=text/html: Integrated DPD for Small Cell and Massive MIMO
June 15\, 2017 at
11AM ET and 5PM CEST
Overview:
The evolution of s
mall cell and Massive MIMO base stations has put ever-higher demands on ra
dio power\, size\, and cost. With a deep understanding of market opportuni
ties and technology pain points\, ADI engineers anticipated power-scaling
issues facing 4G small cell &\; pre-5G Massive MIMO wireless communicat
ions and created a solution: The AD9375 &ndash\; the world&rsquo\;s first
wideband RF transceiver with integrated Digital Pre-Distortion (DPD).
Who Should Attend:
OEMs of small cell or Massive MIMO base stations\; Wireless
carriers\; Power Amplifier (PA) manufacturers  \;
Register
CATEGORIES:Education
UID:20170610T1924180Z-511394-1431@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170621T110000
DTEND;TZID=America/Sao_Paulo:20170621T120000
SUMMARY:Bathroom Lighting
DESCRIPTION:Much of the conversation around bathroom lighting tends to revo
lve around the technical issues of mixing water and electricity. It's very
important to get that right\, but it doesn't start to address the real re
lationship that exists behind the closed door\; the way that we see oursel
ves in the privacy of the smallest room of the house. What you will learn:
The technical criteria for introducing and controlling light into a wet s
pace The priorities for lighting in this multi-functional domestic space H
ow mirrors actually work Lighting for the bathroom - a 24-hour space
X-ALT-DESC;FMTTYPE=text/html: Much of the conversation aroun
d bathroom lighting tends to revolve around the technical issues of mixing
water and electricity.
It's very important to get that right\,
but it doesn't start to address the real relationship that exists behind
the closed door\; the way that we see ourselves in the privacy of the smal
lest room of the house.
What you will learn:
- The technical criteria for introducing and contro
lling light into a wet space
- The priorities for lighting in this
multi-functional domestic space
- How mirrors actually work
- Lighting for the bathroom - a 24-hour space
a>  \;
CATEGORIES:Education
UID:20170610T1907070Z-511394-1427@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170615T120000
DTEND;TZID=America/Sao_Paulo:20170615T130000
SUMMARY:How to Avoid Agile Pitfalls
DESCRIPTION:“Agile.” Few words in systems development are as misused\, misa
ppropriated\, or misunderstood. That’s because\, agile or not\, projects s
till run over budget\, deadlines are missed\, and teams are misaligned.
Join us for a live webinar as James Grenning\, co-author of the Agile Mani
festo\, discusses how to: Pinpoint frequent misunderstandings about agile
Identify common problems agile is designed to address Discover ways agile
can be applied and adapted to the ever-changing world of embedded software
development
X-ALT-DESC;FMTTYPE=text/html: &ldquo\;Agile.&rdquo\; Few words in syste
ms development are as misused\, misappropriated\, or misunderstood. That&r
squo\;s because\, agile or not\, projects still run over budget\, deadline
s are missed\, and teams are misaligned.  \; J
oin us for a live webinar as James Grenning\, co-author of the Agile Manif
esto\, discusses how to: -
Pinpoint frequent misunde
rstandings about agile -
Identify common problems a
gile is designed to address -
Discover ways agile c
an be applied and adapted to the ever-changing world of embedded software
development
CATEGORIES:Education
UID:20170610T1914190Z-511394-1429@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170622T160000
DTEND;TZID=America/Sao_Paulo:20170622T170000
SUMMARY:EE Times Radio Presents IoT in Retail: What’s Really Possible?
DESCRIPTION:Some of the most impressive advances in IoT technology have bee
n made in the retail environment. In this episode\, we'll explore the limi
ts of IoT and retail tracking. For example\, is it really possible to have
cameras track customers in a store\, see them pick up an item\, put the i
tem back\, and post a discount coupon for that item on an end-cap display
before they reach the end of the aisle? We'll discuss what it takes to put
together a viable profile of a customer and how to integrate IoT with CRM
technology\, as well as potential legal and ethical issues of tracking cu
stomers. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Some of the m
ost impressive advances in IoT technology have been made in the retail env
ironment. In this episode\, we'll explore the limits of IoT and retail tra
cking. For example\, is it really possible to have cameras track customers
in a store\, see them pick up an item\, put the item back\, and post a di
scount coupon for that item on an end-cap display before they reach the en
d of the aisle? We'll discuss what it takes to put together a viable profi
le of a customer and how to integrate IoT with CRM technology\, as well as
potential legal and ethical issues of tracking customers. REGISTER
CATEGORIES:Education
UID:20170610T1940240Z-511394-1433@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170621T190000
DTEND;TZID=America/Sao_Paulo:20170621T200000
SUMMARY:Segurança em Sistemas Embarcados: Onde\, Como e Por quê?
DESCRIPTION:Com a proliferação das aplicações de Internet das Coisas os asp
ectos relacionados a segurança dos dispositivos eletrônicos se tornaram ai
nda mais críticos. Exemplos com BASHLITE\, Linux.Darlloz\, Mirai\, Stuxnet
\, Duqu e Flame evidenciam a importância da segurança. Devidas precações e
estratégias de desenvolvimento de software e hardware devem ser tomadas a
fim de mitigar falhas de segurança que podem causar prejuízos incalculáve
is. Confira a lista de alguns tópicos que serão abordados durante o webina
r: Um breve histórico sobre a Segurança Computacional\; Os 3 pilares princ
ipais da Segurança: Integridade\, Confidencialidade e Disponibilidade\; Pr
oblema da Segurança: como evitar que o sistema falhe? Como as soluções par
a os problemas de Segurança podem ser aplicadas em sistemas embarcados? Ex
emplos de problemas\, vírus e exploits\; Como hackers conseguem informaçõe
s sobre meu sistema? Erros comuns de programadores de sistemas embarcados.
Inscrições
X-ALT-DESC;FMTTYPE=text/html: Com a proliferaç\;ã\;o das aplicaç\;õ\;e
s de Internet das Coisas os aspectos relacionados a seguranç\;a dos
dispositivos eletrô\;nicos se tornaram ainda mais crí\;ticos. E
xemplos com BASHLITE\, Linux.Da
rlloz\, \;Mirai\, Stuxnet\, Duqu e Flame evidenciam a importâ\;ncia
da seguranç\;a. Devidas precaç\;õ\;es e estraté\;g
ias de desenvolvimento de software e hardware devem ser tomadas a fim de m
itigar falhas de seguranç\;a que podem causar prejuí\;zos inca
lculá\;veis. Confira a lista d
e alguns tó\;picos que serã\;o abordados durante o webinar: - Um breve histó\;rico sobr
e a Seguranç\;a Computacional\;
- Os 3 pilares principais da
Seguranç\;a: \;Integridade\, C
onfidencialidade e Disponibilidade\;
- Problema da Seguranç\;a: como evitar que o sistema falh
e?
- Como as soluç\;õ\;es para os problemas de
Seguranç\;a podem ser aplicadas em sistemas embarcados?
Exemplos de problemas\, ví\;rus e exp
loits\; - Como hackers con
seguem informaç\;õ\;es sobre meu sistema?
- Erros comuns de programadores de sistemas em
barcados.
Inscriç\;&oti
lde\;es
CATEGORIES:Education
UID:20170610T1901060Z-511394-1426@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170613T120000
DTEND;TZID=America/Sao_Paulo:20170613T130000
SUMMARY:Maximize your product design with a custom chip
DESCRIPTION:Tuesday June 13 9 am and 5 pm BST Many different companies are
seeing the benefits of developing a custom chip. Sensor companies are maki
ng their sensors smart to increase their margins and stickiness. OEMs are
integrating a range of discrete components along with a CPU into a chip\,
to create smaller\, more reliable\, lower cost products. With simplified a
ccess to EDA tooling\, IP and services\, custom chips are now within easy
reach of most companies. Register for this webinar\, hosted by ARM and Cad
ence\, to learn: The benefits of custom chips The routes to creating your
own chip The tools and services available to make custom chip development
easier with lower risk Register for the live event\, and if you are unab
le to attend\, we will notify you when the on-demand recording and Q&A is
ready for viewing. Register nowÈ
X-ALT-DESC;FMTTYPE=text/html: Tuesday June 13 9 am and 5 pm BST
p> Many different companies are seeing the benefits of developing a cu
stom chip. Sensor companies are making their sensors smart to increase the
ir margins and stickiness. OEMs are integrating a range of discrete compon
ents along with a CPU into a chip\, to create smaller\, more reliable\, lo
wer cost products. With simplified access to EDA tooling\, IP and services
\, custom chips are now within easy reach of most companies. Regis
ter for this webinar\, hosted by ARM and Cadence\, to learn: The benefits of custom chips - The routes to creating your own c
hip \;
- The tools and services available to make custom chip
development easier with lower risk \;
Register for the
live event\, and if you are unable to attend\, we will notify you when th
e on-demand recording and Q&\;A is ready for viewing.
CATEGORIES:Education
UID:20170609T1719140Z-511394-1425@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170425T160000
DTEND;TZID=America/Sao_Paulo:20170425T170000
SUMMARY: Making Large-Scale Metrology Faster and Easier
DESCRIPTION:Register Now Making Large-Scale Metrology Faster and Easier Nex
t Generation of Laser Trackers: Breakthroughs in Mobile Device Control and
Portability Are you interested in simplifying your workflows for large-
scale metrology tasks and projects? Are speed and accuracy important? If
so\, attend this webinar to learn about the latest advancements in Laser
Tracker technologies and workflows. Innovations such as mobile device cont
rol\, ultra-portability\, and battery operation make using Laser Trackers
more efficient and easier than ever. For applications such as part and ass
embly inspection\, machine installation\, metrology-guided assembly alignm
ent\, and reverse engineering\, these breakthroughs increase productivity
and reduce the level of skill required to operate a Laser Tracker. The F
ARO® VantageS and VantageE Laser Trackers set a new price/performance stan
dard for addressing challenges in large-scale metrology applications. At
tend this webinar to: RemoteControls™ workflow for mobile device control
of a Laser Tracker including target acquisition and accuracy checks Using
simple gestures to eliminate the challenge of locking onto the laser beam
Innovations in portability Best-in-class accuracy Applications
X-ALT-DESC;FMTTYPE=text/html: <
div style='font-size: 0pt\; line-height: 0pt\; height: 20px\;'> | | Making Large-Scale Metrology Faster and Easier
Next Generation of Laser Trackers: Breakt
hroughs in Mobile Device Control and Portability <
/p> | | <
p> \; Are
you interested in simplifying your workflows for large-scale metrology tas
ks and projects? Are speed and accuracy important?  \;<
/p> If so\, attend this webinar
to learn about the latest advancements in Laser Tracker technologies and
workflows. Innovations such as mobile device control\, ultra-portability\,
and battery operation make using Laser Trackers more efficient and easier
than ever. For applications such as part and assembly inspection\, machin
e installation\, metrology-guided assembly alignment\, and reverse enginee
ring\, these breakthroughs increase productivity and reduce the level of s
kill required to operate a Laser Tracker.  \;
The FARO®\; Vantage<
sup>S and VantageE Laser Trackers set a new price/perform
ance standard for addressing challenges in large-scale metrology applicati
ons.  \; Attend this webinar to:  \; - RemoteControls&trade\; workflow for mobile device control of a Las
er Tracker including target acquisition and accuracy checks
- Usin
g simple gestures to eliminate the challenge of locking onto the laser bea
m
- Innovations in portability
- Best-in-class accuracy
- Applications
 \;
CATEGORIES:Education
UID:20170420T1745490Z-511394-1350@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170420T110000
DTEND;TZID=America/Sao_Paulo:20170420T120000
SUMMARY:LED optics deliver quality illumination on point in outdoor lightin
g designs
DESCRIPTION:In this webcast\, LEDiL will share typical lighting setups and
case studies that demonstrate how proper optics design can deliver BOM sav
ings\, power reduction\, and enhanced visual comfort. What You'll Learn:
System level approach on luminaire design and why it makes sense Exampl
es of lowering total luminaire BOM costs using advanced optics Saving ener
gy with the proper use of light Reducing nuisance light in residential app
lications Who Should Attend: Design engineers Product managers Lighting de
signers Specifiers
X-ALT-DESC;FMTTYPE=text/html: In this webcast\, LEDiL will share typical lighting setups
and case studies that demonstrate how proper optics design can deliver BOM
savings\, power reduction\, and enhanced visual comfort.
span>  \; What You'll Learn:  \;
- Sys
tem level approach on luminaire design and why it makes sense
- Examples of low
ering total luminaire BOM costs using advanced optics
- Saving energy with the
proper use of light
- Reducing nuisance light in residential applications
Who Should Attend:
- Design engineers
- <
span style='font-family: arial\; font-size: small\;'>Product managers
- Lightin
g designers
- Specifiers
 \;
CATEGORIES:Education
UID:20170420T1751090Z-511394-1352@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170418T150000
DTEND;TZID=America/Sao_Paulo:20170418T160000
SUMMARY:RF Fundamentals Part 4: RF and Microwave Power Measurements
DESCRIPTION:Power measurements are fundamental to RF & microwave industries
\, but is the standalone power meter still relevant among the plethora of
advanced instruments available today? During this one hour webcast\, we wi
ll discuss standalone power measurement instruments\, how they work\, why
they are important and where they fit within the RF test bench. We will de
scribe the technologies and topologies applied to power measurements and e
xplore how best to take advantage of the capabilities offered. Factors eff
ecting accuracy and traceability will be presented along with detailed app
lication examples. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Power measurements are fundamental
to RF &\; microwave industries\, but is the standalone power meter stil
l relevant among the plethora of advanced instruments available today? Dur
ing this one hour webcast\, we will discuss standalone power measurement i
nstruments\, how they work\, why they are important and where they fit wit
hin the RF test bench. We will describe the technologies and topologies ap
plied to power measurements and explore how best to take advantage of the
capabilities offered. Factors effecting accuracy and traceability will be
presented along with detailed application examples. <
/span>  \; REGISTER
CATEGORIES:Education
UID:20170406T1411500Z-511394-1313@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170419T150000
DTEND;TZID=America/Sao_Paulo:20170419T160000
SUMMARY:Top 10 Ways Bench Instrument Software Increases Design Productivity
DESCRIPTION:Summary Why this webcast is important: Test instruments are ess
ential tools for your bench. They provide incredible insights and are abso
lutely necessary for any engineer. PCs are yet another tool that have beco
me common place as the control center for your everyday tasks. It’s been a
non-trivial task trying to get these to work together\, often requiring h
ours to weeks of work in order to get seemingly simple tasks accomplished.
Learn how to save time with common tasks such as getting screen captures
or exporting data to Excel or Word in a few clicks. Modern software even e
nables you to create automated test sequences as simply as using your fron
t panel of your instrument. Spend less time fighting your instruments and
more time with your design by using the latest software tools. Who should
attend: Engineers who would like to more easily set up\, control\, automat
e\, and get results from their bench test instruments. Register Now
X-ALT-DESC;FMTTYPE=text/html: Summary Why this webcast is important:
Test instruments are essential tools for your bench. They provide i
ncredible insights and are absolutely necessary for any engineer. PCs are
yet another tool that have become common place as the control center for y
our everyday tasks. It&rsquo\;s been a non-trivial task trying to get thes
e to work together\, often requiring hours to weeks of work in order to ge
t seemingly simple tasks accomplished. Learn how to save time with common
tasks such as getting screen captures or exporting data to Excel or Word i
n a few clicks. Modern software even enables you to create automated test
sequences as simply as using your front panel of your instrument. Spend le
ss time fighting your instruments and more time with your design by using
the latest software tools. Who should attend: Engineers who would like
to more easily set up\, control\, automate\, and get results from their b
ench test instruments. Register Now<
/a>
CATEGORIES:Education
UID:20170406T1413450Z-511394-1314@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170420T150000
DTEND;TZID=America/Sao_Paulo:20170420T160000
SUMMARY:DDRR4/LPDDR4 Testing - Best Practices to Get to Market Faster
DESCRIPTION:Why this Webcast is Important: Both DDR4 and LPDDR4 present sig
nificant test challenges as data rates increase with new test parameters i
n the JEDEC specification. Best practices will be discussed to address the
design challenges\, and to demonstrate how to gain greater insight into r
eference designs\, understanding design constraints\, and their impact on
system margin. When it comes to analyzing the test results\, designers and
their managers need an intuitive way to visualize the performance and the
results of their characterization work. Attendees will learn how Keysight
’s complete DDR4 and LPDDR4 solution will enable them to make faster decis
ions and reduce time to market of their new designs. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Why this Webcast is Importa
nt: Both DDR4 and LPDDR4 present significant test challenge
s as data rates increase with new test parameters in the JEDEC specificati
on. Best practices will be discussed to address the design challenges\, an
d to demonstrate how to gain greater insight into reference designs\, unde
rstanding design constraints\, and their impact on system margin. When it
comes to analyzing the test results\, designers and their managers need an
intuitive way to visualize the performance and the results of their chara
cterization work. Attendees will learn how Keysight&rsquo\;s complete DDR4
and LPDDR4 solution will enable them to make faster decisions and reduce
time to market of their new designs. &
nbsp\; REGISTER
span>
CATEGORIES:Education
UID:20170406T1415430Z-511394-1315@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170413T130000
DTEND;TZID=America/Sao_Paulo:20170413T140000
SUMMARY:Demystifying Limiting Amplifiers: Application and Design
DESCRIPTION:Summary In this webcast we will discuss the role of limiting am
plifiers in Electronic Warfare (EW) systems. We will also cover the design
considerations and specifications required to realize a limiting amplifie
r module. During this webcast you will learn: Where limiting amplifiers ar
e used Why limiting amplifiers are important Basic limiting amplifier desi
gn Who should attend: Students and engineers new to the field\, as well as
those more experienced engineers looking for a refresher on Limiting ampl
ifiers. Register Now
X-ALT-DESC;FMTTYPE=text/html: Summary In this webcast we will discuss the role of lim
iting amplifiers in Electronic Warfare (EW) systems. We will also cover th
e design considerations and specifications required to realize a limiting
amplifier module. During this webcast you will learn:
- Wh
ere limiting amplifiers are used
- Why limiting amplifiers are important
- Basic limiting amplifier design
Who shou
ld attend: Students and engineers new to the field\, as well as t
hose more experienced engineers looking for a refresher on Limiting amplif
iers. Register Now  \;
CATEGORIES:Education
UID:20170406T1408050Z-511394-1311@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170413T160000
DTEND;TZID=America/Sao_Paulo:20170413T170000
SUMMARY:Selecting the Right 3D Printing Process and Material
DESCRIPTION:Summary Having a better understanding about industrial 3D print
ing can help minimize risk and questions about what process and materials
to use during prototyping and even low-volume production. We will discuss
key material properties for industrial 3D printing technologies and how th
ey compare to engineering-grade plastics and metals used in CNC machining
and injection molding. You’ll learn about: Direct metal laser sintering\,
selective laser sintering\, stereolithography and PolyJet processes Materi
al options and properties Selecting the right process and material for you
r particular application Live Q&A Register Now
X-ALT-DESC;FMTTYPE=text/html: Summary Having a better understanding about industrial 3D printing can help
minimize risk and questions about what process and materials to use durin
g prototyping and even low-volume production. We will discuss key material
properties for industrial 3D printing technologies and how they compare t
o engineering-grade plastics and metals used in CNC machining and injectio
n molding. You&rsquo\;ll learn about: - Direct metal laser sintering\, selective laser sintering\, s
tereolithography and PolyJet processes
- Material options and properties
- Selecting the right process and material for your particular appl
ication
- Live Q&\;A
Register Now
CATEGORIES:Education
UID:20170406T1409460Z-511394-1312@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170328T160000
DTEND;TZID=America/Sao_Paulo:20170328T170000
SUMMARY:Risk Management for Medical Device Manufacturers
DESCRIPTION:The three day course – on Risk management is an important and c
hallenging topic for all medical device manufacturers. But what is risk an
d how do we manage it? There are many connotations of risk and many method
s used to manage them. Although there are 'industry standards' such as ISO
14971 and others\, risk remains a source of confusion for manufacturers an
d regulators alike. During this 3-part interactive webinar\, a more system
atic\, engineering-minded approach to risk is presented using multiple exa
mples of medical devices to demonstrate important concepts. Ultimately ris
k is not a simple matter! Following the webinar\, participants will have a
much better appreciation of the importance of risk and how to manage it.
Our expert will cover: • Day 1: Overview of Risk: Is risk really as simp
le as it seems? • Day 2: Mechanics of Risk: What do we do with this inform
ation • Day 3: Advanced Topics in Risk: What are best practices and how do
we avoid problems
X-ALT-DESC;FMTTYPE=text/html:The three day course &ndash\; on Risk manag
ement is an important and challenging topic for all medical device manufac
turers. But what is risk and how do we manage it? There are many connotati
ons of risk and many methods used to manage them. Although there are 'indu
stry standards' such as ISO14971 and others\, risk remains a source of con
fusion for manufacturers and regulators alike. During this 3-part interact
ive webinar\, a more systematic\, engineering-minded approach to risk is p
resented using multiple examples of medical devices to demonstrate importa
nt concepts. Ultimately risk is not a simple matter! Following the webinar
\, participants will have a much better appreciation of the importance of
risk and how to manage it.  \; | Our expert will cov
er: | &bull\; | Day 1: Ov
erview of Risk: Is risk really as simple as it seems? | <
td style='padding: 0em 0em 0.4em 0.2em\; text-align: left\; color: #005a9d
\; line-height: 17px\; font-family: Arial\, Helvetica\, sans-serif\; font-
size: 20px\; font-weight: normal\;' align='left' valign='top' width='3%'><
strong>&bull\; Day 2: Mechanics of Risk: What do we do wit
h this information | &bull\; | Day 3: Advanced Topics in R
isk: What are best practices and how do we avoid problems |  \; | |
|  \;
CATEGORIES:General
UID:20170321T1329020Z-511394-1273@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170330T130000
DTEND;TZID=America/Sao_Paulo:20170330T140000
SUMMARY:PROFET™+2: High side switches for Energy Efficiency & Miniaturizati
on
DESCRIPTION:Overview: We are excited to announce that we have – yet again
– extended our pioneering\, market-proven PROFET™ family of PROtected mos
FETs. Join our webinar on Thursday\, March 30th at 8am PST\, and see how
the PROFET™+2 series is enabling game-changing levels of energy efficiency
and miniaturization in automotive applications. PROFET™+2 will allow you
to reduce current consumption by 50% and shrink packaging by 40% - all whi
le maintaining pin-out compatibility for zero-cost migrations. This ground
-breaking concept promises functional safety improvements at lower cost! C
an you afford to miss it? » Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview:  \; | We ar
e excited to announce that we have &ndash\; yet again &ndash\; extended ou
r pioneering\, market-proven PROFET&trade\; family of PROtected mosFETs. Join our webinar on Thursday\, March 30th at \; 8am PST\,
and see how the PROFET&trade\;+2 series is enabling game-changing levels o
f energy efficiency and miniaturization in automotive applications.
PROFET&trade\;+2 will allow you to reduce current consumption by 50
% and shrink packaging by 40% - all while maintaining pin-out compatibilit
y for zero-cost migrations. This ground-breaking concept promises function
al safety improvements at lower cost! Can you afford to miss it? | »\;
Register Today |  \;
CATEGORIES:Education
UID:20170321T1334090Z-511394-1277@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170329T160000
DTEND;TZID=America/Sao_Paulo:20170329T170000
SUMMARY:Stray Light Analysis and Design of Optical Imaging Systems
DESCRIPTION:Stray light is an age-old problem for optical systems. Fortunat
ely\, software tools available today for the optical designer enable quick
and accurate characterization of stray light. This Webinar will provide a
brief overview of stray light analysis and design of optical imaging syst
ems\, and show how the advanced features in the TracePro software for opto
-mechanical design and analysis makes this task easy and fun. Topics to be
covered include: Choice of optical design to minimize stray light Design
of optimal sunshades and baffles How to use analysis features such as irra
diance maps\, Path sorting to diagnose problems and accurately predict str
ay light Register Now →
X-ALT-DESC;FMTTYPE=text/html:Stray light is an age-old problem for optic
al systems. Fortunately\, software tools available today for the optical d
esigner enable quick and accurate characterization of stray light. <
br /> This Webinar will provide a brief overview of stray light analysis a
nd design of optical imaging systems\, and show how the advanced features
in the TracePro software for opto-mechanical design and analysis makes thi
s task easy and fun.
Topics to be covered include:
- Choice of optical design to minimize stray light
- Design of
optimal sunshades and baffles
- How to use analysis features such
as irradiance maps\,
- Path sorting to diagnose problems and accur
ately predict stray light
Register Now &rarr\;
CATEGORIES:Education
UID:20170321T1332380Z-511394-1276@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170329T160000
DTEND;TZID=America/Sao_Paulo:20170329T170000
SUMMARY:Risk Management for Medical Device Manufacturers
DESCRIPTION:The three day course – on Risk management is an important and c
hallenging topic for all medical device manufacturers. But what is risk an
d how do we manage it? There are many connotations of risk and many method
s used to manage them. Although there are 'industry standards' such as ISO
14971 and others\, risk remains a source of confusion for manufacturers an
d regulators alike. During this 3-part interactive webinar\, a more system
atic\, engineering-minded approach to risk is presented using multiple exa
mples of medical devices to demonstrate important concepts. Ultimately ris
k is not a simple matter! Following the webinar\, participants will have a
much better appreciation of the importance of risk and how to manage it.
Our expert will cover: • Day 1: Overview of Risk: Is risk really as simp
le as it seems? • Day 2: Mechanics of Risk: What do we do with this inform
ation • Day 3: Advanced Topics in Risk: What are best practices and how do
we avoid problems
X-ALT-DESC;FMTTYPE=text/html:The three day course &ndash\; on Risk manag
ement is an important and challenging topic for all medical device manufac
turers. But what is risk and how do we manage it? There are many connotati
ons of risk and many methods used to manage them. Although there are 'indu
stry standards' such as ISO14971 and others\, risk remains a source of con
fusion for manufacturers and regulators alike. During this 3-part interact
ive webinar\, a more systematic\, engineering-minded approach to risk is p
resented using multiple examples of medical devices to demonstrate importa
nt concepts. Ultimately risk is not a simple matter! Following the webinar
\, participants will have a much better appreciation of the importance of
risk and how to manage it.  \; | Our expert will cov
er: | &bull\; | Day 1: Ov
erview of Risk: Is risk really as simple as it seems? | <
td style='padding: 0em 0em 0.4em 0.2em\; text-align: left\; color: #005a9d
\; line-height: 17px\; font-family: Arial\, Helvetica\, sans-serif\; font-
size: 20px\; font-weight: normal\;' align='left' valign='top' width='3%'><
strong>&bull\; Day 2: Mechanics of Risk: What do we do wit
h this information | &bull\; | Day 3: Advanced Topics in R
isk: What are best practices and how do we avoid problems |  \; | |
|
CATEGORIES:General
UID:20170321T1329180Z-511394-1274@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170323T160000
DTEND;TZID=America/Sao_Paulo:20170323T170000
SUMMARY:Flexible Coupling Solutions for OEM Applications
DESCRIPTION:Designing and manufacturing a custom coupling for OEM applicati
ons provides savings by optimizing the size and targeting environmental co
nditions that would not be met by off-the-shelf products. The incorporatio
n of special attachment features will reduce the time and expense of desig
n\, purchase and inventory of multiple parts. In this Webinar\, gain insig
hts into specifying a flexible coupling for your application that offers t
he best combination of value and performance. Attendees will see examples
of solutions provided for real world applications that leveraged the engin
eering support and technical skill of Helical Products. For more informati
on and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html: Designing and
manufacturing a custom coupling for OEM applications provides savings by
optimizing the size and targeting environmental conditions that would not
be met by off-the-shelf products. The incorporation of special attachment
features will reduce the time and expense of design\, purchase and invento
ry of multiple parts.
In this Webinar\, gain insights into sp
ecifying a flexible coupling for your application that offers the best com
bination of value and performance.
Attendees will see example
s of solutions provided for real world applications that leveraged the eng
ineering support and technical skill of Helical Products. For more information and to register\, click here.
CATEGORIES:Education
UID:20170321T1336310Z-511394-1278@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170330T160000
DTEND;TZID=America/Sao_Paulo:20170330T170000
SUMMARY:Risk Management for Medical Device Manufacturers
DESCRIPTION:The three day course – on Risk management is an important and c
hallenging topic for all medical device manufacturers. But what is risk an
d how do we manage it? There are many connotations of risk and many method
s used to manage them. Although there are 'industry standards' such as ISO
14971 and others\, risk remains a source of confusion for manufacturers an
d regulators alike. During this 3-part interactive webinar\, a more system
atic\, engineering-minded approach to risk is presented using multiple exa
mples of medical devices to demonstrate important concepts. Ultimately ris
k is not a simple matter! Following the webinar\, participants will have a
much better appreciation of the importance of risk and how to manage it.
Our expert will cover: • Day 1: Overview of Risk: Is risk really as simp
le as it seems? • Day 2: Mechanics of Risk: What do we do with this inform
ation • Day 3: Advanced Topics in Risk: What are best practices and how do
we avoid problems
X-ALT-DESC;FMTTYPE=text/html: The three day course &ndash\; on Risk manag
ement is an important and challenging topic for all medical device manufac
turers. But what is risk and how do we manage it? There are many connotati
ons of risk and many methods used to manage them. Although there are 'indu
stry standards' such as ISO14971 and others\, risk remains a source of con
fusion for manufacturers and regulators alike. During this 3-part interact
ive webinar\, a more systematic\, engineering-minded approach to risk is p
resented using multiple examples of medical devices to demonstrate importa
nt concepts. Ultimately risk is not a simple matter! Following the webinar
\, participants will have a much better appreciation of the importance of
risk and how to manage it.  \; | Our expert will cov
er: | &bull\; | Day 1: Ov
erview of Risk: Is risk really as simple as it seems? | <
td style='padding: 0em 0em 0.4em 0.2em\; text-align: left\; color: #005a9d
\; line-height: 17px\; font-family: Arial\, Helvetica\, sans-serif\; font-
size: 20px\; font-weight: normal\;' align='left' valign='top' width='3%'><
strong>&bull\; Day 2: Mechanics of Risk: What do we do wit
h this information | &bull\; | Day 3: Advanced Topics in R
isk: What are best practices and how do we avoid problems |  \; | |
| |
CATEGORIES:General
UID:20170321T1329330Z-511394-1275@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170329T130000
DTEND;TZID=America/Sao_Paulo:20170329T140000
SUMMARY:Introduction to Machine Vision: Definitions\, Components\, Benefits
\, Applications
DESCRIPTION:
X-ALT-DESC;FMTTYPE=text/html:
CATEGORIES:Education
UID:20170321T1337450Z-511394-1279@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170629T130000
DTEND;TZID=America/Sao_Paulo:20170629T140000
SUMMARY:What CAN you accomplish with CAN-FD?
DESCRIPTION:PART II: CAN STACK PORTING AND SECURE BOOTLOADERS Join experts
from Embedded Systems Academy as they explain the requirements for an impl
ementation of secure and non-secure bootloaders in CAN and CAN-FD systems
– leveraging the LPC546xx MCU family as an example. . 10 AM CDT | Regi
ster »
X-ALT-DESC;FMTTYPE=text/html:PART II: CAN S
TACK PORTING AND SECURE BOOTLOADERS
Join experts from Embedded Systems Academy as they explain the requirement
s for an implementation of secure and non-secure bootloaders in CAN and CA
N-FD systems &ndash\; leveraging the LPC546xx MCU family as an example.  \; . <
table class='date-box row nxp-gray-xlight' dir='ltr' lang='en' border='0'
width='100%' cellspacing='0' cellpadding='0' align='left'> |
 \; |  \;
CATEGORIES:General
UID:20170602T1845060Z-511394-1399@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170615T160000
DTEND;TZID=America/Sao_Paulo:20170615T170000
SUMMARY:Embedded Test Innovations for Aerospace\, a Webinar
DESCRIPTION: Join United Electronic Industries (UEI) and National Instrume
nts (NI) for a high-tech discussion of embedded test innovations in a free
webinar\, hosted by Intelligent Aerospace. What You'll Learn: A check
list for building a successful test and control solution Fail-safe\, built
-in test\, and diagnostic tips and processes to mitigate deployment risks
Automatic failure mode analysis information Examine catastrophic failure c
auses and costs A discussion of diagnostics\, built-in test features\, det
ecting wiring issues\, avionics test system overlap\, solenoid control\, s
ensor reading\, I/O interfaces\, autonomy and more Who Should Attend: In
dustrial Engineers Design Engineers Process Engineers Director of Engineer
ing Test Engineers Simulation Engineers Engineering Managers Systems Integ
rators Maintenance\, Repair\, and Overhaul (MRO) Technicians Anyone involv
ed with safety- and mission-critical systems\, including avionics\, embedd
ed electronics\, or test & measurement
X-ALT-DESC;FMTTYPE=text/html:  \; Join United Electronic Industries (UEI) and
National Instruments (NI) for a high-tech discussion of embedded test inn
ovations in a free webinar\, hosted by Intelligent Aerospace.  \; What You'll Learn:  \; A checklist for b
uilding a successful test and control solution - Fail-safe\, built-in test\, an
d diagnostic tips and processes to mitigate deployment risks
- Automatic failur
e mode analysis information
- Examine catastrophic failure causes and costs
- A disc
ussion of diagnostics\, built-in test features\, detecting wiring issues\,
avionics test system overlap\, solenoid control\, sensor reading\, I/O in
terfaces\, autonomy and more
 \; Who Sh
ould Attend:
span> - Industrial Engineers
- Design Engineers
- Process Engineers
- Director of E
ngineering
- Test Engineers
- Simulation Engineers
<
li>Engineering Managers - Systems Integrators
- Maintenanc
e\, Repair\, and Overhaul (MRO) Technicians
- Anyone involved with
safety- and mission-critical systems\, including avionics\, embedded elec
tronics\, or test &\; measurement
 \; <
span style='font-size: 12px\; color: #000000\; font-family: Arial\,Helveti
ca\,sans-serif\;'><
span style='font-family: arial\; font-size: small\;'>
CATEGORIES:Education
UID:20170602T1857100Z-511394-1406@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170605T170000
DTEND;TZID=America/Sao_Paulo:20170605T180000
SUMMARY:IoT Programming with Basic for Android - Day 1
DESCRIPTION:Basic for Android is a Rapid Application Development tool that
takes the pain out of creating Android applications. This series of lectur
es will revolve around creating Basic for Android applications that interf
ace with various IoT devices. The application development processes of the
targeted IoT devices will also be examined and discussed. June 5 – Day 1
– B4A 101 This first lecture of the Basic for Android series is intended t
o expose the programming methods used by Basic for Android. Common program
ming building blocks that make up every Basic for Android IoT application
will be discussed. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Basic for Android is a Rapid Application De
velopment tool that takes the pain out of creating Android applications. This series of lectures will revolve around creating Basic for A
ndroid applications that interface with various IoT devices. The applicati
on development processes of the targeted IoT devices will also be examined
and discussed. - J
une 5 &ndash\; Day 1 &ndash\; B4A 101
- This first lecture of
the Basic for Android series is intended to expose the programming methods
used by Basic for Android. Common programming building blocks that make u
p every Basic for Android IoT application will be discussed.
REGISTER
 \;
CATEGORIES:Education
UID:20170602T1851560Z-511394-1401@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170608T140000
DTEND;TZID=America/Sao_Paulo:20170608T150000
SUMMARY:How to develop embedded vision applications with off-the-shelf comp
onents
DESCRIPTION: In a free webcast on June 8\, Daniel Lau\, Professor of Elect
rical and Computer Engineering at the University of Kentucky will describe
the building of embedded vision applications with off-the-shelf computer-
on-modules\, and will describe the process and the additional components t
hat are needed. What You'll Learn: How to build an embedded vision sys
tem The off-the-shelf components needed to build a system Hardware and sof
tware options Design challenges and benefits of embedded vision system Exa
mples of embedded vision applications\, both current and future Who Should
Attend: Anyone wanting to learn or learn more about embedded vision Those
interested in the capabilities and future of embedded vision applications
Those who want to know more about the components needed/available for suc
h a project Those considering embedded vision in future projects End users
/OEMs
X-ALT-DESC;FMTTYPE=text/html:  \; In a free webcast on June 8\, Daniel Lau\, Prof
essor of Electrical and Computer Engineering at the University of Kentucky
will describe the building of embedded vision applications with off-the-s
helf computer-on-modules\, and will describe the process and the additiona
l components that are needed.  \; What Yo
u'll Learn:  \; - How to build an embedded vision system
The off-the-shelf
components needed to build a system - Hardware and software options
- Design challen
ges and benefits of embedded vision system
- Examples of embedded vision applic
ations\, both current and future
Who Should Attend<
/a>:
- Anyone wanting to learn or learn more about embedded vision
span>
- Thos
e interested in the capabilities and future of embedded vision application
s
- T
hose who want to know more about the components needed/available for such
a project
- Those considering embedded vision in future projects
<
li>End users/OEMs  \;  \;
CATEGORIES:Education
UID:20170602T1847070Z-511394-1400@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170607T170000
DTEND;TZID=America/Sao_Paulo:20170607T180000
SUMMARY:Wait! Wait! You Need Not Replace!: Update Your Legacy Systems with
the IIoT
DESCRIPTION:Join us on June 7th at 2:00 p.m. ET as we take a closer look at
how industry develops and negotiates the range of communication and integ
ration standards that will power tomorrow’s Industrial Internet of Things.
We will study real-world OEE applications as we dive deep into the techni
cal elements of how to put these solutions together and how to put them in
to play. A few of the questions we plan to answer: What are the challenge
s with actually putting OEE applications into play? What’s a trick that ma
ny don’t fully grasp? Where are we with communication/integration standard
s with the IIoT? What in the near future most excites you about all of th
is? Want to join the discussion? REGISTER NOW!
X-ALT-DESC;FMTTYPE=text/html: Join us on June 7th
at 2:00 p.m. ET as we take a closer look at how industry develops and
negotiates the range of communication and integration standards that will
power \;tomorrow&rsquo\;s \;Indu
strial Internet of Things. We will study real-world OEE applications as we
dive deep into the technical elements of how to put these solutions toget
her and how to put them into play. \;
A few of the questions we plan to answer: Want to join the discussion
?  \; <
p style='margin-bottom: 1em\;'> REGISTER NOW!
CATEGORIES:Education
UID:20170602T1858500Z-511394-1407@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170216T143000
DTEND;TZID=America/Sao_Paulo:20170216T153000
SUMMARY:TouchGFX: Empower Your Embedded Display with Dashing Graphics Fast
and Easy with a Real-Time WYSIWYG UI Designer
DESCRIPTION:Draupner (TouchGFX) provides a library/API based solution to cr
eate a GUI with a smartphone look and feel. By leveraging LPC technology\,
such as integrated on-chip display controller and the quad SPI flash inte
rface\, see how TouchGFX can be used to achieve a high-end solution with m
aximum performance at a low cost. Session overview: • TouchGFX introductio
n • Technology and required hardware • NXP technology • Live demo of Touch
GFX Designer (GUI builder) REGISTER
X-ALT-DESC;FMTTYPE=text/html: Draupner (Tou
chGFX) provides a library/API based solution to create a GUI with a smartp
hone look and feel. By leveraging LPC technology\, such as integrated on-c
hip display controller and the quad SPI flash interface\, see how TouchGFX
can be used to achieve a high-end solution with maximum performance at a
low cost. Session overview: &bull\; TouchGFX introduct
ion &bull\; Technology and required hardware &bull\; NXP tec
hnology &bull\; Live demo of TouchGFX Designer (GUI builder) <
p style='text-align: justify\;'> \; REGISTER
CATEGORIES:Education
UID:20170208T1709190Z-511394-1199@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170208T170000
DTEND;TZID=America/Sao_Paulo:20170208T180000
SUMMARY:Long Fiber Composites – High Performance Materials & Designs
DESCRIPTION:Join us for a free 60-minute webinar\, 'Long Fiber Composites –
High Performance Materials & Designs\,' with live Q&A on Wednesday\, Febr
uary 8\, at 2:00 PM EST. Let the experts at PlastiComp share their knowled
ge with you about long fiber reinforced thermoplastic composites. From its
stronger\, stiffer\, and tougher performance envelope to understanding ho
w to optimize your designs to take advantage of these unique structural ma
terials – invest an hour of your time to discover why you should add robus
t long fiber composites to your toolbox of plastic solutions. Attend this
webinar and you will: • How long fiber outperforms other reinforced plasti
cs • Fiber and polymer options to maximize performance • In-depth explorat
ion of long fiber’s many benefits • Tailoring fiber orientation and perfor
mance through design • Using design simulations to expedite product develo
pment Register
X-ALT-DESC;FMTTYPE=text/html: Join us for a
free 60-minute webinar\, 'Long Fiber Composites &ndash\; High Per
formance Materials &\; Designs\,' with live Q&\;A on Wednes
day\, February 8\, at 2:00 PM EST. L
et the experts at PlastiComp share their knowledge with you about long fib
er reinforced thermoplastic composites.
From its stronger\, sti
ffer\, and tougher performance envelope to understanding how to optimize y
our designs to take advantage of these unique structural materials &ndash\
; invest an hour of your time to discover why you should add robust long f
iber composites to your toolbox of plastic solutions. Attend this webinar and you will:
&bull\; | How long fiber outperforms other rei
nforced plastics | &bull\; | Fiber and polymer options to
maximize performance | &bull\; | In
-depth exploration of long fiber&rsquo\;s many benefits |
&b
ull\; | Tailoring fiber orientation and performance through design |
&bull\; | Using design simulations to expedite product develo
pment | &n
bsp\;Register
CATEGORIES:Education
UID:20170208T1702220Z-511394-1197@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170208T160000
DTEND;TZID=America/Sao_Paulo:20170208T170000
SUMMARY:Fundamentals of IV Measurements
DESCRIPTION:Event Date: Tuesday\, February 8\, 2017 Event Time: 1:00 PM ET
| 10:00 AM PT Sponsored by: Keysight Technologies Why this webcast is impo
rtant: At some point in their careers\, most engineers and scientists need
to make accurate current and voltage (IV) measurements. Unfortunately\, t
his type of practical measurement knowledge is rarely taught in universiti
es. This presentation will cover the basics of IV measurement\, with an em
phasis on tips and tricks to improve the results you obtain and to increas
e your efficiency in making these types of measurements. Who should attend
: Engineers and scientists who need to properly make IV measurements in or
der to characterize thie device.
X-ALT-DESC;FMTTYPE=text/html: Ev
ent Date: Tuesday\, February 8\, 2017 Event Time: <
/strong>1:00 PM ET | 10:00 AM PT Sponsored by: Keys
ight Technologies Why this webcast is important: At some point in their car
eers\, most engineers and scientists need to make accurate current and vol
tage (IV) measurements. Unfortunately\, this type of practical measurement
knowledge is rarely taught in universities. This presentation will cover
the basics of IV measurement\, with an emphasis on tips and tricks to impr
ove the results you obtain and to increase your efficiency in making these
types of measurements. Who
should attend: Engineers and scientists who need to properl
y make IV measurements in order to characterize thie device.
CATEGORIES:Education
UID:20170208T1704400Z-511394-1198@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170307T133000
DTEND;TZID=America/Sao_Paulo:20170307T143000
SUMMARY:Embedded Wizard: Learn How GUI Development on LPC546xx Devices Can
Be Just Like Magic
DESCRIPTION:TARA Systems is an ISV providing components and services for em
bedded systems. More than 25 years of experience in various domains enable
s TARA to offer customers high quality\, high reliability and innovative p
roducts. In this webinar\, TARA will introduce and demonstrate Embedded Wi
zard\, their fourth-generation GUI development tool\, showcasing its comfo
rtable work-flow with the LPCXpresso54608 board. REGISTER
X-ALT-DESC;FMTTYPE=text/html:
TARA Systems is an IS
V providing components and services for embedded systems. More than 25 yea
rs of experience in various domains enables TARA to offer customers high q
uality\, high reliability and innovative products. In this we
binar\, TARA will introduce and demonstrate Embedded Wizard\, their fourth
-generation GUI development tool\, showcasing its comfortable work-flow wi
th the LPCXpresso54608 board.  \;
CATEGORIES:Education
UID:20170208T1711290Z-511394-1200@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170322T170000
DTEND;TZID=America/Sao_Paulo:20170322T180000
SUMMARY:Marrying IT & OT: Get Started on the Path Forward
DESCRIPTION: As general-purpose information technologies (IT) become incre
asingly able to satisfy the specific needs of industry\, purpose-built ope
rational technologies (OT) are giving way to converged architectures as we
ll as melded development and support organizations. In this webinar we’ll
discuss the future of converged communication and computing technology\, a
s well as its implications for tomorrow’s workers. For example\, how do we
ensure that those engineers and system designers charged with bringing ab
out coordinated digital transformation have the skills and understanding t
hey need to bridge the priority paradigms and technology heritage of both
domains? What skills does the new generation of Industrial IoT developers
need and where we will we find them? REGISTER
X-ALT-DESC;FMTTYPE=text/html:  \; As general-
purpose information technologies (IT) become increasingly able to satisfy
the specific needs of industry\, purpose-built operational technologies (O
T) are giving way to converged architectures as well as melded development
and support organizations. In this webinar we&rsquo\;ll discuss the futur
e of converged communication and computing technology\, as well as its imp
lications for tomorrow&rsquo\;s workers. For example\, how do we ensure th
at those engineers and system designers charged with bringing about coordi
nated digital transformation have the skills and understanding they need t
o bridge the priority paradigms and technology heritage of both domains? W
hat skills does the new generation of Industrial IoT developers need and w
here we will we find them? &
nbsp\; REGISTER  \;
CATEGORIES:Education
UID:20170208T1656400Z-511394-1195@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170613T160000
DTEND;TZID=America/Sao_Paulo:20170613T170000
SUMMARY:Refresh Your Teaching Lab With Modern Instruments and Software
DESCRIPTION:Why this webcast is important Engineering labs prepare students
for industry. Having the right equipment in those classrooms and teaching
labs reinforces critical concepts\, and helps students learn and prepare
for the future using the instruments they are likely to depend on in their
careers. Building both a strong understanding of measurements and what th
ey mean\, as well as student confidence in the accuracy of those measureme
nts\, will enhance learning\, thus providing additional insight into the e
lectronics world. Keysight continues to create new and innovative ways to
follow the electronic signal. Keysight can help your students follow and u
nderstand that electronic signal\; and\, students can become familiar with
the leading-edge instruments and software solutions used in industry. Joi
n Keysight for a look at the latest hardware and software solutions that w
ill help you modernize and advance your teaching labs to prepare students
for industry. Who should attend University faculty\, Professors\, lecturer
s\, Deans\, department chairs\, department heads\, lab managers\, research
center directors. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Why this webca
st is important Engineering labs prepare students
for industry. Having the right equipment in those classrooms and teaching
labs reinforces critical concepts\, and helps students learn and prepare f
or the future using the instruments they are likely to depend on in their
careers. Building both a strong understanding of measurements and what the
y mean\, as well as student confidence in the accuracy of those measuremen
ts\, will enhance learning\, thus providing additional insight into the el
ectronics world. Keysight continues to create new and innovative w
ays to follow the electronic signal. Keysight can help your students follo
w and understand that electronic signal\; and\, students can become famili
ar with the leading-edge instruments and software solutions used in indust
ry. Join Keysight for a look at the latest hardware and software solutions
that will help you modernize and advance your teaching labs to prepare st
udents for industry. Who should attend University
faculty\, Professors\, lecturers\, Deans\, department chairs\, department
heads\, lab managers\, research center directors. REGISTER NOW
CATEGORIES:Education
UID:20170603T2250370Z-511394-1409@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170616T140000
DTEND;TZID=America/Sao_Paulo:20170616T150000
SUMMARY:Manufacturing 4.0 Data Management Principles for Machine Vision
DESCRIPTION:Machine vision data remains on the periphery of the manufacturi
ng line. This webinar will share strategies on how to apply Manufacturing
4.0 principles to this data and incorporate machine vision images into a b
roader view of your production line. • Understand the types of data th
at are available but not typically collected • What to look for and how to
use the data to improve the vision inspection application and to facilita
te analysis for faster issue resolution • How to correlate data from machi
ne vision systems and other processes • How to use vision data to diagnose
issues faster in the Repair Bay • How to improve accuracy\, traceability
and repeatability
X-ALT-DESC;FMTTYPE=text/html: Mac
hine vision data remains on the periphery of the manufacturing line.
This webinar will share strategies on how to apply Manufacturing 4
.0 principles to this data and incorporate machine vision images into a br
oader view of your production line.  \; &bull\;
p>  \; | Understand the type
s of data that are available but not typically collected |
&bull\; | What to look for and how to use the data to improve the vision inspe
ction application and to facilitate analysis for faster issue resolution
td> | &bull\; | How to correlate data from machine vision systems an
d other processes | &bull\; | How to use vision data to diagnose issues faster in the Repair
Bay | &bull\; | How
to improve accuracy\, traceability and repeatability |  \;
CATEGORIES:Education
UID:20170603T2258480Z-511394-1411@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170613T170000
DTEND;TZID=America/Sao_Paulo:20170613T180000
SUMMARY:Leave My Things Alone – Getting Ready for IIoT
DESCRIPTION:You’ve read the headlines: The Industrial Internet of Thing (II
oT) is revolutionizing manufacturing\, driving efficiencies and productivi
ty across all areas of operations. But you’ve been doing it for decades ri
ght? Join us on June 13 for a Controls’ Engineers view of how IIoT is impa
cting the factory floor and your organization as a whole. Attendees on th
is one-hour webinar will hear from industry expert\, Aron Semle from PTC\,
who will discuss some of the challenges and opportunities faced by today’
s Control Engineers. He will also demo how IIoT solutions from PTC are ena
bling Controls Engineers to: Gain real time visibility into OPC server sta
tus Get instant notifications and alerts of device connectivity errors Eas
ily trend tags in minutes Prepare you for new Predicative Analytics’\, Bus
iness System Integrations\, and AR technologies Handle the new types of da
ta we’re seeing on the factory floor Join us on June 13 and learn how with
IIoT solutions from PTC\, you can troubleshoot machine and connectivity i
ssues in real-time\, minimize unplanned downtime\, increase efficiency and
flexibility\, and be better positioned to leverage new IIoT technologies.
X-ALT-DESC;FMTTYPE=text/html: You&rsquo\;ve read the headlines: The Indus
trial Internet of Thing (IIoT) is revolutionizing manufacturing\, driving
efficiencies and productivity across all areas of operations. But you&rsqu
o\;ve been doing it for decades right? Join us o
n June 13 for a Controls&rsquo\; Engineers view of how IIoT i
s impacting the factory floor and your organization as a whole. \;
Attendees on this one-hour webinar will hear from industry expert\, A
ron Semle from PTC\, who will discuss some of the challenges and opportuni
ties faced by today&rsquo\;s Control Engineers. He will also demo how IIoT
solutions from PTC are enabling Controls Engineers to: - Gai
n real time visibility into OPC server status
- Get instant notifi
cations and alerts of device connectivity errors
- Easily trend ta
gs in minutes
- Prepare you for new Predicative Analytics&rsquo\;\
, Business System Integrations\, and AR technologies
- Handle the
new types of data we&rsquo\;re seeing on the factory floor
Join us on June 13 and learn how w
ith IIoT solutions from PTC\, you can troubleshoot machine and connectivit
y issues in real-time\, minimize unplanned downtime\, increase efficiency
and flexibility\, and be better positioned to leverage new IIoT technologi
es.
CATEGORIES:Education
UID:20170603T2330470Z-511394-1417@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170628T190000
DTEND;TZID=America/Sao_Paulo:20170628T200000
SUMMARY:Using Predictive Analytics to Reduce Equipment Downtime\, Improve P
roduct Quality and Decrease Costs in the Age of the Industrial Internet of
Things
DESCRIPTION: New Industrial Internet of Things (IIoT) solutions are helpin
g manufacturers improve product quality\, increase productivity\, decrease
costs\, and make smarter business decisions. Already\, manufacturing faci
lities are becoming “smart factories\,” where vast quantities of sensor da
ta are continuously analyzed to increase productivity and efficiency. For
example\, IIoT sensors might monitor the temperature of a key piece of equ
ipment\, if the temperature begins to rise a predictive maintenance soluti
on can take actions to avoid equipment or product damage and notify staff
of the problem. A major challenge is how to implement and deploy this tech
nology as every factory is different\, meaning that a predictive maintenan
ce solution has to be customized for each facility. This involves a compli
cated set of decisions about everything from how data should be gathered t
o where data should be analyzed — in the cloud or at the edge of the netwo
rk. Making these choices can be difficult because IIoT solutions require e
xpertise in both information technology (IT) and shop floor operational te
chnology (OT) — and these two disciplines historically have had little in
common. Join our experts from PrismTech\, and IBM as they discuss these ch
allenges\, review current approaches and their limitations and show how an
IIoT-enabled predictive maintenance solution that incorporates factory-op
timized hardware\, secure data distribution\, and advanced analytics is th
e way forward. Speakers: Simon Collins\, Senior Product Manager\, PrismT
ech Lynn Sweetwood\, Senior Technical Solutions Specialist\, Watson IoT An
alytics\, IBM Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; New Industrial Internet of Thin
gs (IIoT) solutions are helping manufacturers improve product quality\, in
crease productivity\, decrease costs\, and make smarter business decisions
. Already\, manufacturing facilities are becoming &ldquo\;smart factories\
,&rdquo\; where vast quantities of sensor data are continuously analyzed t
o increase productivity and efficiency. For example\, IIoT sensors might m
onitor the temperature of a key piece of equipment\, if the temperature be
gins to rise a predictive maintenance solution can take actions to avoid e
quipment or product damage and notify staff of the problem. A majo
r challenge is how to implement and deploy this technology as every factor
y is different\, meaning that a predictive maintenance solution has to be
customized for each facility. This involves a complicated set of decisions
about everything from how data should be gathered to where data should be
analyzed &mdash\; in the cloud or at the edge of the network. Making thes
e choices can be difficult because IIoT solutions require expertise in bot
h information technology (IT) and shop floor operational technology (OT) &
mdash\; and these two disciplines historically have had little in common.<
/p> Join our experts from PrismTech\, and IBM as they discuss these ch
allenges\, review current approaches and their limitations and show how an
IIoT-enabled predictive maintenance solution that incorporates factory-op
timized hardware\, secure data distribution\, and advanced analytics is th
e way forward.
 \; |
Speakers: Simon Collins\, Senior Product Manager\, PrismTec
h Lynn Sweetwood\, Senior Technical Solutions Specialist\, Watson Io
T Analytics\, IBM Moderator: 
\; Brandon Lewis\, OpenSystems Media |
REGISTER NOW | | |
CATEGORIES:General
UID:20170603T2253190Z-511394-1410@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170629T150000
DTEND;TZID=America/Sao_Paulo:20170629T160000
SUMMARY:Is Synchronization in 5G Challenging?
DESCRIPTION:With the 5G deployment on the horizon\, several key technologie
s need to be in place including\, synchronization. Synchronization is a ke
y technology for the correct operation of the telecommunication network. A
s 5G networks inch closer\, there is a need for very accurate distribution
of phase and time synchronization. In this Engineering Live\, we will dis
cuss: • The trends in the wireless networks\, • Synchronization for 5G n
etworks\, • Support synchronization in the 5G radio access\, • The service
s driving tight synchronization\, and • Standards bodies working on synchr
onization requirements for 5G. You won’t want to miss this live event! REG
ISTER
X-ALT-DESC;FMTTYPE=text/html:With the 5G deployment on the horizon\, several key
technologies need to be in place including\, synchronization. Synchronizat
ion is a key technology for the correct operation of the telecommunication
network. As 5G networks inch closer\, there is a need for very accurate d
istribution of phase and time synchronization.
In this Engineering Live\, we will discuss:  
\;
&bull\; | The trends in the wireless networks\, | &bull\; | Synchronization for 5G networks\, |
&bull\; | Support synchronization in the 5G radio access\, | <
tr> &bull\; | The services driving tight synchronization\, and | &bull\; | Standards bodies working on synchronization requirements for
5G. | You won&rsquo\;t want to m
iss this live event! REGISTER
CATEGORIES:Education
UID:20170603T2305160Z-511394-1412@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170606T160000
DTEND;TZID=America/Sao_Paulo:20170606T170000
SUMMARY:Small rugged connectors designed for battlefield conditions
DESCRIPTION:Warfighters on the front lines have a lot to worry about\, and
the connectors linking them to networked radios\, wearable computers\, dis
plays\, weapons sights\, and identification-friend-or-foe systems should n
ot be something else to worry about. What You'll Learn: How important
are small size\, weight\, and power consumption (SWaP) when it comes to ru
gged battlefield connectors? What are some of the most important design ch
allenges related to SWaP for rugged battlefield connectors? What are today
's most important requirements for data throughput in rugged battlefield c
onnectors\, and what are customers asking for in the next one to five year
s? What are today's requirements for submergence and water proofing in rug
ged battlefield connectors\, and how do you see those requirements evolvin
g in the future? What are requirements for quick-disconnect capability in
the field\, and what are the design issues you face for meeting those requ
irements? Who Should Attend: Designers and manufactures of networked radio
s\, wearable computers and displays for battlefield soldiers.
X-ALT-DESC;FMTTYPE=text/html:Warfight
ers on the front lines have a lot to worry about\, and the connectors link
ing them to networked radios\, wearable computers\, displays\, weapons sig
hts\, and identification-friend-or-foe systems should not be something els
e to worry about.  \; What You'll Learn:  \; - How important are small size\, weight\, and power consumption
(SWaP) when it comes to rugged battlefield connectors?
- What are some of the most importa
nt design challenges related to SWaP for rugged battlefield connectors?
- What are today's
most important requirements for data throughput in rugged battlefield conn
ectors\, and what are customers asking for in the next one to five years?<
/span>
- What are today'
s requirements for submergence and water proofing in rugged battlefield co
nnectors\, and how do you see those requirements evolving in the future?
span>
- What are require
ments for quick-disconnect capability in the field\, and what are the desi
gn issues you face for meeting those requirements?
Who Should Attend: Designers and manufactur
es of networked radios\, wearable computers and displays for battlefield s
oldiers.  \;
CATEGORIES:Education
UID:20170603T2310040Z-511394-1413@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170607T170000
DTEND;TZID=America/Sao_Paulo:20170607T180000
SUMMARY:Design Simplicity and Cost Containment for Automation System Design
DESCRIPTION:Join us for a free 60-minute webinar\, 'Design Simplicity and C
ost Containment for Automation System Design\,' with live Q&A on Tuesday\,
June 07\, at 2:00 PM EDT. Modern automation solutions use flexible and po
werful technologies\, allowing automation systems to be designed faster an
d implemented quicker. AS-Interface\, an open IO connectivity solution\, u
sed in tens of thousands of installations worldwide\, provides an unparall
eled level of simplicity resulting in even shorter design and build times.
Participants will understand why machines\, plants\, and systems that tak
e advantage of AS-Interface can be designed and constructed faster and thu
s positively influence cash flow. Attend this webinar and learn: • Basic
design rules • Available products • Solutions layout and resulting benefi
ts
X-ALT-DESC;FMTTYPE=text/html:Join us for a free 60-minute webinar\, 'Design Simplicity and Cost Containment for Automation System Design
strong>\,' with live Q&\;A on Tuesday\, June 07\, at 2:00 PM EDT.
Modern automation solutions use flexible and powerful technologies\, al
lowing automation systems to be designed faster and implemented quicker. A
S-Interface\, an open IO connectivity solution\, used in tens of thousands
of installations worldwide\, provides an unparalleled level of simplicity
resulting in even shorter design and build times.
Participants
will understand why machines\, plants\, and systems that take advantage o
f AS-Interface can be designed and constructed faster and thus positively
influence cash flow.  \; | Attend this we
binar and learn: | &bull\; |
B
asic design rules | &bull\; | Available
products | &bull\; | Solutions layout
and resulting benefits |  \;
CATEGORIES:Education
UID:20170603T2317510Z-511394-1415@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170608T170000
DTEND;TZID=America/Sao_Paulo:20170608T180000
SUMMARY:Cutting Design-to-Market Risks – A New Solution for Rapid Prototypi
ng
DESCRIPTION:In this seminar\, Stratasys' Sam Green\, Head of Marketing for
Rapid Prototyping\, introduces a new solution in rapid prototyping and 3D
printing that doesn't compromise on the needs of designers and engineers.
Engineering grade quality – but easy enough for anyone to operate. Profess
ional levels of efficiency and productivity – with availability right from
the workgroup office. Join this seminar to find out how to: Deliver opt
imal results at every prototyping stage\, from concept verification to des
ign validation to functional performance. Produce more accurate\, detailed
and repeatable prototypes at a lower cost. Maximize your overall solution
effectiveness by optimizing available run time\, workflow performance and
yield. Register Now
X-ALT-DESC;FMTTYPE=text/html: In this seminar\, Stratasys' Sam Green\, Head of Marketing for R
apid Prototyping\, introduces a new solution in rapid prototyping and 3D p
rinting that doesn't compromise on the needs of designers and engineers. E
ngineering grade quality &ndash\; but easy enough for anyone to operate. P
rofessional levels of efficiency and productivity &ndash\; with availabili
ty right from the workgroup office. Join this seminar to find out how to:
 \; - Deliver optimal results at every prototyping stage\, from concept veri
fication to design validation to functional performance.
-
Produce more accurate\, detailed an
d repeatable prototypes at a lower cost.
- Maximize your overall solution effectiveness by opt
imizing available run time\, workflow performance and yield.
 \;
 \;
CATEGORIES:Education
UID:20170603T2343150Z-511394-1420@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170622T200000
DTEND;TZID=America/Sao_Paulo:20170622T210000
SUMMARY:Learn How MiniMRP is Reducing Avionics Packaging Up to 40%!
DESCRIPTION: The Mini Modular Rack Principle (MiniMRP) is a design and mod
ular component solution for avionics systems that distributes avionics thr
oughout the aircraft in smaller\, lighter package configurations — reducin
g avionics packaging up to 40%! MiniMRP is designed to the ARINC 836 stand
ard\, and is fast emerging as the leading choice for military and commerci
al avionics. The MiniMRP provides standardized modules that can be easily
deployed throughout an aircraft\, allowing information collection and dist
ribution around a fiber optic or copper backbone. • How increased comput
ing power in a smaller package is possible utilizing MiniMRP avionics pack
aging to replace the big box avionics bay approach\, • The role of small-f
orm-factor electronic devices in modular components that work to enable di
stributed avionics systems\, and\, • SIGN UP
X-ALT-DESC;FMTTYPE=text/html: \; The Mini Mod
ular Rack Principle (MiniMRP) is a design and modular component solution f
or avionics systems that distributes avionics throughout the aircraft in s
maller\, lighter package configurations &mdash\; reducing avionics packagi
ng up to 40%! MiniMRP is designed to the ARINC 836 standard\, and is fast
emerging as the leading choice for military and commercial avionics. The M
iniMRP provides standardized modules that can be easily deployed throughou
t an aircraft\, allowing information collection and distribution around a
fiber optic or copper backbone.  \;
&bull\; | How increased computing power in a smaller package is possible
utilizing MiniMRP avionics packaging to replace the big box avionics bay
approach\, | &bull\; | The role of small-form-factor ele
ctronic devices in modular components that work to enable distributed avio
nics systems\, and\, | &bull\; |  \;
|  \;  \;
CATEGORIES:Education
UID:20170603T2346500Z-511394-1421@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170614T160000
DTEND;TZID=America/Sao_Paulo:20170614T170000
SUMMARY:EMI Interference Analysis and Troubleshooting
DESCRIPTION:What this webcast is about Signal analyzers are used to charact
erize RF signals\, including EMI interference analysis and diagnostics. A
full EMI compliance test can be expensive and time-consuming. So why not u
se the spectrum analyzer on your bench to evaluate the EMI risk of your pr
oduct\, before going to the test house? This webcast will cover EMI basics
\, EMI interference\, and EMI characterization and test using the Keysight
X-series signal analyzers. Who should attend Engineers\, technician
s\, and managers that are involved in the product EMI test process. RE
GISTER NOW
X-ALT-DESC;FMTTYPE=text/html:What this webcast is about
Signal analyzers are used to characterize RF signals\, including EMI inte
rference analysis and diagnostics. A full EMI compliance test can be expen
sive and time-consuming. So why not use the spectrum analyzer on your benc
h to evaluate the EMI risk of your product\, before going to the test hous
e? This webcast will cover EMI basics\, EMI interference\, and EMI charact
erization and test using the Keysight X-series signal analyzers. |  \; |  \; | Who should attend Engineers\, technicians\
, and managers that are involved in the product EMI test process. |
tr> |  \;
 \; REGISTER NOW \;
CATEGORIES:Education
UID:20170603T2349410Z-511394-1422@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171130T140000
DTEND;TZID=America/Sao_Paulo:20171130T150000
SUMMARY:Artesyn and Wind River discuss software-defined industrial control
systems
DESCRIPTION:The world of industrial control systems is evolving. Join us fo
r this web seminar to better understand whether moving to a software-defin
ed system is right for your business. You will also find out what steps yo
u can take now to enjoy the benefits of a software-defined industrial cont
rol system later. What you will learn: The business benefits of applying s
oftware-based techniques from IT into industrial control systems A straigh
tforward process of adopting this new architecture How to manage the risks
and reap the benefits
X-ALT-DESC;FMTTYPE=text/html:The world of industrial control systems is
evolving. Join us for this web seminar to better understand whether moving
to a software-defined system is right for your business. You will also fi
nd out what steps you can take now to enjoy the benefits of a software-def
ined industrial control system later. What you will learn:
td> | The business benefits of applying softwa
re-based techniques from IT into industrial control systems | <
tr> | A straig
htforward process of adopting this new architecture | | How to manage th
e risks and reap the benefits |
CATEGORIES:Education
UID:20171121T1827520Z-511394-1650@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170406T120000
DTEND;TZID=America/Sao_Paulo:20170406T130000
SUMMARY:emWin: Design and develop a fully featured GUI for free
DESCRIPTION:emWin is designed and developed by SEGGER to provide an efficie
nt\, processor- and LCD controller-independent graphical user interface (G
UI) for any application that operates with a graphical LCD. When using any
ARM® Cortex®-M3 or -M4 based LPC MCU\, the emWIN library is available for
product development and deployment for free (source code license availabl
e from SEGGER). In this webinar\, you learn the key considerations in deve
loping a GUI\, and see how SEGGER’s GUI builder tool can be used to quickl
y design and prototype a GUI on the LPCXpresso54608 board. REGISTER
X-ALT-DESC;FMTTYPE=text/html: emWin is designed and developed by SEGGER t
o provide an efficient\, processor- and LCD controller-independent graphic
al user interface (GUI) for any application that operates with a graphical
LCD. When using any ARM®\; Cortex®\;-M3 or -M4 based LPC MCU\, the
emWIN library is available for product development and deployment for free
(source code license available from SEGGER). In this webinar
\, you learn the key considerations in developing a GUI\, and see how SEGG
ER&rsquo\;s GUI builder tool can be used to quickly design and prototype a
GUI on the LPCXpresso54608 board.  \; REGISTER
CATEGORIES:Education
UID:20170208T1713300Z-511394-1201@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170620T160000
DTEND;TZID=America/Sao_Paulo:20170620T170000
SUMMARY:Design by Data: Solutions for IES/LDT File Creation
DESCRIPTION:In this 30-minute webinar\, Radiant Applications Engineer Austi
n Piehl presents solutions for creating IES and LDT files and demonstrates
the process of analyzing light measurement data and exporting these files
using Radiant’s PM-NFMS™ Software. What You'll Learn: Solutions for I
ES and LDT file creation\, from conventional goniophotometry to near-field
measurement systems The process of collecting light measurement data Type
s of data acquired: comparing near- and far-field data Applications of Rad
iant’s ProMetric® Near-Field Measurement System (PM-NFMS) Considerations f
or the LM-79 method Who Should Attend: Design engineers in optics\, lighti
ng\, and LED components or devices Users of optical design software (such
as ASAP®\, FRED®\, LightTools®\, OSLO®\, OpticStudio™ and more) Manufactur
ers of LED light sources in automotive\, aerospace\, electronics\, and oth
er industries
X-ALT-DESC;FMTTYPE=text/html: In this 30-minute webinar\, R
adiant Applications Engineer Austin Piehl presents solutions for creating
IES and LDT files and demonstrates the process of analyzing light measurem
ent data and exporting these files using Radiant&rsquo\;s PM-NFMS&trade\;
Software.  \; What You'll Learn:
 \; - Solut
ions for IES and LDT file creation\, from conventional goniophotometry to
near-field measurement systems
- The process of
collecting light measurement data
- Types of data
acquired: comparing near- and far-field data
- A
pplications of Radiant&rsquo\;s ProMetric®\; Near-Field Measurement Sys
tem (PM-NFMS)
- Considerations for the LM-79 meth
od
Who Should Attend:
- Design engineers in optics\, lighting\, and LED comp
onents or devices
- Users of optical design software (such as ASAP®\;\, FRED
®\;\, LightTools®\;\, OSLO®\;\, OpticStudio&trade\; and more)
- Manufa
cturers of LED light sources in automotive\, aerospace\, electronics\, and
other industries
 \;  \;
CATEGORIES:Education
UID:20170609T1717550Z-511394-1424@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170627T170000
DTEND;TZID=America/Sao_Paulo:20170627T180000
SUMMARY:Voltage-Current Made More Accurate and Simple
DESCRIPTION: Electronic test depends upon an accurate and precise understa
nding of voltage and current relationship of the device under test. In o
ur webinar we’ll look at ten common applications\, from LEDs and Laser Dio
des to measuring power efficiency of power management ICs and solar cells.
Each case highlights how a Source Measure Unit (SMU) instrument offers u
nique advantages in terms of accuracy and simplicity. We’ll show how a SM
U allows you to both source and measure voltage and current using a single
instrument. That means it can take the place of a power supply\, a curre
nt source or an electronic load\, as well as a precision DMM\, a picoammet
er\, an ohmmeter or an electrometer. SMUs are becoming the industry stand
ard DC instrument for a variety of applications from bench\, to lab and pr
oduction. Watch this webinar to learn how to use a SMU instrument in a pro
ject like yours. • Fundamental test challenges in ten common voltage-cur
rent applications • Key advantages of a SMU for those applications • F
undamentals of a SMU • Key concepts and selection criterion of a SMU REG
ISTER
X-ALT-DESC;FMTTYPE=text/html:  \; Electronic test depends upon an accurate and precise un
derstanding of voltage and current relationship of the device under test.
 \; In our webinar we&rsquo\;ll look at ten common applications\, from
LEDs and Laser Diodes to measuring power efficiency of power management I
Cs and solar cells.  \;Each case highlights how a Source Measure Unit
(SMU) instrument offers unique advantages in terms of accuracy and simplic
ity.  \;We&rsquo\;ll show how a SMU allows you to both source and measure
voltage and current using a single instrument. \; That means it can ta
ke the place of a power supply\, a current source or an electronic load\,
as well as a precision DMM\, a picoammeter\, an ohmmeter or an electromete
r.  \;SMUs are becoming the industry standard DC instrument for
a variety of applications from bench\, to lab and production. Watch this
webinar to learn how to use a SMU instrument in a project like yours.  \; &bull\; Fundamental test challenges in ten common
voltage-current applications
 \; &bull\; Key
advantages of a SMU for those applications  \; &bull\; Fundamentals of a SMU  \; &bull\; Key concepts and se
lection criterion of a SMU REGISTER
 \;
CATEGORIES:Education
UID:20170610T1952240Z-511394-1434@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170404T140000
DTEND;TZID=America/Sao_Paulo:20170404T150000
SUMMARY:Deep Learning & Embedded Vision for Automotive ADAS
DESCRIPTION:With new advances in deep learning techniques\, embedded vision
systems are becoming more sophisticated in enabling vehicles to “see” the
ir surroundings\, thereby improving Advanced Driver Assistance Systems (AD
AS) technology. The early uses of deep learning for object detection\, inc
luding pedestrian detection and collision avoidance\, is rapidly giving wa
y for scene segmentation where every pixel of a high resolution video stre
am is identified\, classified\, and acted on. This webinar will describe t
he current and next generation requirements for ADAS vision applications\,
including deep learning accelerator technology. Attend this webinar to le
arn: Embedded vision’s role in a wide range of ADAS applications The evolu
tion of deep learning requirements for autonomous vehicles How the coming
changes in deep learning will improve ADAS How Synopsys’ EV6X Embedded Vis
ion processors with programmable convolutional neural networks (CNN) provi
de the performance and toolset needed to quickly deploy ADAS applications
with high-definition resolutions. REGISTER
X-ALT-DESC;FMTTYPE=text/html: With new advances in deep learning techniqu
es\, embedded vision systems are becoming more sophisticated in enabling v
ehicles to &ldquo\;see&rdquo\; their surroundings\, thereby improving Adva
nced Driver Assistance Systems (ADAS) technology. The early uses of deep l
earning for object detection\, including pedestrian detection and collisio
n avoidance\, is rapidly giving way for scene segmentation where every pix
el of a high resolution video stream is identified\, classified\, and acte
d on. This webinar will describe the current and next generation requireme
nts for ADAS vision applications\, including deep learning accelerator tec
hnology.
Attend this webinar to learn: -
Embedded vision&rsquo\;s role in a wide range of ADAS applications
<
li>The evolution of deep learning requirements for autonomous vehicles - How the coming changes in deep learning will improve ADAS
- How Synopsys&rsquo\; EV6X Embedded Vision processors with programmable co
nvolutional neural networks (CNN) provide the performance and toolset need
ed to quickly deploy ADAS applications with high-definition resolutions.
li>
REGISTER
CATEGORIES:Education
UID:20170328T1737240Z-511394-1295@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170627T160000
DTEND;TZID=America/Sao_Paulo:20170627T170000
SUMMARY:Verifying RF Short Range Communication in IoT
DESCRIPTION: Why this webcast is important IoT involves wireless networks
that must accurately and instantaneously communicate to each other and to
the cloud. Verifying the signal robustness of these radio links is key for
ensuring a design’s integrity. This webcast will cover measurement and te
st methods of short-range communications including Bluetooth\, Zigbee\, Z-
wave\, and Sub-GHz. RF test solutions that meet a variety of application n
eeds ranging from automotive\, home automation to healthcare will be demon
strated. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;
Why this webcast is important IoT involves wireless networks that must accurate
ly and instantaneously communicate to each other and to the cloud. Verifyi
ng the signal robustness of these radio links is key for ensuring a design
&rsquo\;s integrity. This webcast will cover measurement and test methods
of short-range communications including Bluetooth\, Zigbee\, Z-wave\, and
Sub-GHz. RF test solutions that meet a variety of application needs rangin
g from automotive\, home automation to healthcare will be demonstrated. REGISTER NOW | <
/table>  \;
CATEGORIES:Education
UID:20170610T1910520Z-511394-1428@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170621T140000
DTEND;TZID=America/Sao_Paulo:20170621T150000
SUMMARY:Using multispectral and hyperspectral imaging in inspection
DESCRIPTION:As customer demands grow and applications expand\; machine visi
on technologies—including cameras and software—must evolve. One such techn
ology in the world of vision is hyperspectral and multispectral imaging co
mponents\, which David Dechow\, Staff Engineer\, Intelligent Robotics/Mach
ine Vision\, FANUC America Corporation\, will discuss in depth in a free w
ebcast on June 21. What You'll Learn: Multispectral and hyperspectral
imaging components and capabilities Specific techniques for inspection usi
ng these technologies Real-life examples of how to deploy these technologi
es Additional application areas for multispectral and hyperspectral compon
ents Who Should Attend: Engineers and engineering managers involved in des
igning machine vision and image processing systems Those interested in lea
rning about the latest developments in multispectral and hyperspectral ima
ging Those interested in learning about the benefits of using these techno
logies as opposed to standard imaging techniques End-users wishing to unde
rstand the impact of these technologies in inspection applications
X-ALT-DESC;FMTTYPE=text/html:As customer demands grow and applications ex
pand\; machine vision technologies&mdash\;including cameras and software&m
dash\;must evolve.
One such technology in the world of vision i
s hyperspectral and multispectral imaging components\, which David Dechow\
, Staff Engineer\, Intelligent Robotics/Machine Vision\, FANUC America Cor
poration\, will discuss in depth in a free webcast on June 21.  \; What You'll Learn:  \; - Multispectral and hyperspectr
al imaging components and capabilities
- Specific techniques for inspection usi
ng these technologies
- Real-life examples of how to deploy these technologies<
/span>
- Add
itional application areas for multispectral and hyperspectral components
span>
Who Should Attend:
span> - Engineers and engineering managers involved in designing m
achine vision and image processing systems
- Those interested in learning abou
t the latest developments in multispectral and hyperspectral imaging
- Those i
nterested in learning about the benefits of using these technologies as op
posed to standard imaging techniques
- End-users wishing to understand the impa
ct of these technologies in inspection applications
<
br />
CATEGORIES:Education
UID:20170610T1919400Z-511394-1430@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170322T140000
DTEND;TZID=America/Sao_Paulo:20170322T150000
SUMMARY:Conceitos de Criptografia – Integridade\, Autenticidade e Confidenc
ialidade
DESCRIPTION:Neste webinar será apresentado os conceitos de criptografia\, c
aracterísticas e diferenças entre cada um deles incluindo a apresentação d
e modos de implementação de comunicação segura\, inicialização segura e au
tenticidade de dados. Será apresentado também os itens de autenticação e c
riptografia da Microchip\, em especial CryptoAuthentication. Apresentado
r Ricardo Seiti FAE da Microchip para America do Sul. Membro do Authenti
cation Function Group da Microchip. INSCRIÇÔES
X-ALT-DESC;FMTTYPE=text/html: Neste webinar s
erá\; apresentado os conceitos de criptografia\, caracterí\;st
icas e diferenç\;as entre cada um deles incluindo a apresentaç
\;ã\;o de modos de implementaç\;ã\;o de comunicaç\
;ã\;o segura\, inicializaç\;ã\;o segura e autenticidade
de dados. Será\; apresentado també\;m os itens de autentica&cc
edil\;ã\;o e criptografia da Microchip\, em especia
l CryptoAuthentication.  \; Apresentador  \; Ricardo Seiti FAE da Microchip
para America do Sul. Membro do Authentication Function Group da Microchip
.  \; INSCRIÇ\;Ô\;ES
p>
CATEGORIES:General
UID:20170310T1352030Z-511394-1251@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170314T160000
DTEND;TZID=America/Sao_Paulo:20170314T170000
SUMMARY:Design Simplicity and Cost Containment for Automation System Design
DESCRIPTION:Join us for a free 60-minute webinar\, 'Design Simplicity and C
ost Containment for Automation System Design\,' with live Q&A on Tuesday\,
March 14\, at 2:00 PM EDT. Modern automation solutions use flexible and p
owerful technologies\, allowing automation systems to be designed faster a
nd implemented quicker. AS-Interface\, an open IO connectivity solution\,
used in tens of thousands of installations worldwide\, provides an unparal
leled level of simplicity resulting in even shorter design and build times
. Participants will understand why machines\, plants\, and systems that ta
ke advantage of AS-Interface can be designed and constructed faster and th
us positively influence cash flow. Attend this webinar and learn: • Basi
c design rules • Available products • Solutions layout and resulting benef
its
X-ALT-DESC;FMTTYPE=text/html: Join us for a free 60-minute webinar\, 'Design Simplicity and Cost Containment for Automation System Design
strong>\,' with live Q&\;A on Tuesday\, March 14\, at 2:00 PM EDT.
Modern automation solutions use flexible and powerful technologies\, a
llowing automation systems to be designed faster and implemented quicker.
AS-Interface\, an open IO connectivity solution\, used in tens of thousand
s of installations worldwide\, provides an unparalleled level of simplicit
y resulting in even shorter design and build times.
Participant
s will understand why machines\, plants\, and systems that take advantage
of AS-Interface can be designed and constructed faster and thus positively
influence cash flow.  \; | Attend this w
ebinar and learn: &bull\; | Basic de
sign rules | &bull\; | Available products | &bull\; | Solutions layout and resulting benefits
| |  \; |
|
td> |  \;
CATEGORIES:Education
UID:20170310T1401110Z-511394-1255@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170322T130000
DTEND;TZID=America/Sao_Paulo:20170322T140000
SUMMARY:OCR & OCV: Learn about the enabling algorithms and imaging componen
ts
DESCRIPTION:In order to achieve success in an OCR/OCV application\, a numbe
r of imaging algorithms must be utilized. In a free webcast on March 22\,
Tom Brennan\, President of Artemis Vision\, will discuss these algorithms
and methods employed in such applications. What You'll Learn: What sof
tware tools and techniques are useful for OCR/OCV What imaging components
are useful for OCR/OCV The difference between OCR and OCV and the challeng
es involved in implementing and deploying these technologies How to prepro
cess images for better readability Who Should Attend: Specifiers and buy
ers of components and systems for automation applications involving text S
ystem design engineers\, engineering managers\, QA/QC managers and others
responsible for automated imaging Those wanting to learn about algorithms
and methods for more successful OCR/OCV Everyone who could benefit from an
update\, refresher\, or introduction to OCR/OCV
X-ALT-DESC;FMTTYPE=text/html:In order to achieve success in an OCR/OCV application\, a n
umber of imaging algorithms must be utilized. In a free webcast on March 2
2\, Tom Brennan\, President of Artemis Vision\, will discuss these algorit
hms and methods employed in such applications.  \; What You'll Learn:  \;
- What software
tools and techniques are useful for OCR/OCV
- What imaging components are usefu
l for OCR/OCV
- The difference between OCR and OCV and the challenges involved
in implementing and deploying these technologies
- How to preprocess images for
better readability
 \; Who Should Attend:
-
Specifiers and buyers of components and systems for automation application
s involving text
- System design engineers\, engineering managers\, QA/QC manag
ers and others responsible for automated imaging
- Those wanting to learn about
algorithms and methods for more successful OCR/OCV
- Everyone who could benefi
t from an update\, refresher\, or introduction to OCR/OCV
 \;
CATEGORIES:Education
UID:20170310T1404570Z-511394-1257@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170222T160000
DTEND;TZID=America/Sao_Paulo:20170222T170000
SUMMARY:Real-Time Operating System Fundamentals Using FreeRTOS
DESCRIPTION: Embedded system complexity has reached the point where many
systems require a real-time operating system. In this webinar\, attendees
will become familiar with the design methodologies necessary to properly
schedule tasks in addition to understanding when and where to use mutexes\
, semaphores and message queues. Important concepts such as preventing pri
ority inversions\, deadlock and thread starvation will be examined. The we
binar will provide hands-on demonstrations using the NXP's K64 Freedom Boa
rd which uses as ARM Cortex-M4 processor with the Atollic TrueStudio toolc
hain. Click here to register.
X-ALT-DESC;FMTTYPE=text/html: \;  \; Embedded system complexity has reached the point where many systems re
quire a real-time operating system. In this webinar\, attendees will becom
e familiar with the design methodologies necessary to properly schedule ta
sks in addition to understanding when and where to use mutexes\, semaphore
s and message queues. Important concepts such as preventing priority inver
sions\, deadlock and thread starvation will be examined. The webinar will
provide hands-on demonstrations using the NXP's \;K64 Freedom Board wh
ich uses as ARM Cortex-M4 processor with the Atollic TrueStudio toolchain.
Click here to register.
CATEGORIES:Education
UID:20170208T1715540Z-511394-1202@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170321T130000
DTEND;TZID=America/Sao_Paulo:20170321T140000
SUMMARY:IoT Overview and the Major Issues - Class 1
DESCRIPTION:In this lead-off class\, we will briefly review the principles
of the IoT and some of the basic configurations that we may encounter (sta
r with gateway\, tree\, and mesh) and how the devices are best connected t
o maximize efficiency. We will look at the major issues we face as these n
etworks and associated “things” become more complex\, including data issue
s\, communication issues\, device monitoring and troubleshooting\, and sys
tem security. We will identify potential weaknesses in each of these areas
and compile a checklist of features that we need in a management system t
hat will carry out these needs. We will also look at ways to prioritize th
e criticality of the devices and/or their data to help us in assigning lev
els of security and monitoring capabilities (for example\, a temperature s
ensor used to sense a fire versus a temperature sensor for outside ambient
temperature). Day 1 sponsored by Wind River. REGISTER NOW FOR CLASS 1
X-ALT-DESC;FMTTYPE=text/html: In this lead-off class\, we will briefly review the principles of
the IoT and some of the basic configurations that we may encounter (star w
ith gateway\, tree\, and mesh) and how the devices are best connected to m
aximize efficiency. We will look at the major issues we face as these netw
orks and associated &ldquo\;things&rdquo\; become more complex\, including
data issues\, communication issues\, device monitoring and troubleshootin
g\, and system security. We will identify potential weaknesses in each of
these areas and compile a checklist of features that we need in a manageme
nt system that will carry out these needs. We will also look at ways to pr
ioritize the criticality of the devices and/or their data to help us in as
signing levels of security and monitoring capabilities (for example\, a te
mperature sensor used to sense a fire versus a temperature sensor for outs
ide ambient temperature). Day 1 sponsored by Wind River.  \; REGISTER NOW FOR CLASS 1<
/span>
CATEGORIES:Education
UID:20170208T1719500Z-511394-1203@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170322T130000
DTEND;TZID=America/Sao_Paulo:20170322T140000
SUMMARY:Monitoring and Securing IoT Data and Communications - Class 2
DESCRIPTION:In our second class\, we will begin at the heart of the Interne
t of Things – the sending and receiving of data to our “things.” Whether i
t’s collecting a simple room temperature reading or capturing a video fram
e for analysis\, the IoT enables us to collect huge amounts of data for an
alysis then send out data in the form of commands or as human-readable dat
a. The diversity of end devices makes this task difficult at best\, as it
involves myriad data types and protocols. This is key as we want to ensure
the safety\, security\, and integrity of that data from its source to its
intended destination. We will look at various methods we can use in our d
evice design to effectively monitor and secure our data flow throughout th
e entire IoT network. Also\, we will look at ways that we can approach com
munications issues with different protocols and physical layers in our net
work\, which often consist of legacy devices that were not originally desi
gned for the robustness required in the IoT. Day 2 sponsored by Wind River
. REGISTER NOW FOR CLASS 2
X-ALT-DESC;FMTTYPE=text/html: In our second class\, we will begin at the heart of the Internet o
f Things &ndash\; the sending and receiving of data to our &ldquo\;things.
&rdquo\; Whether it&rsquo\;s collecting a simple room temperature reading
or capturing a video frame for analysis\, the IoT enables us to collect hu
ge amounts of data for analysis then send out data in the form of commands
or as human-readable data. The diversity of end devices makes this task d
ifficult at best\, as it involves myriad data types and protocols. This is
key as we want to ensure the safety\, security\, and integrity of that da
ta from its source to its intended destination. We will look at various me
thods we can use in our device design to effectively monitor and secure ou
r data flow throughout the entire IoT network. Also\, we will look at ways
that we can approach communications issues with different protocols and p
hysical layers in our network\, which often consist of legacy devices that
were not originally designed for the robustness required in the IoT. Day
2 sponsored by Wind River.  \;
p> REGISTER NOW FOR CLASS 2
CATEGORIES:Education
UID:20170208T1721260Z-511394-1204@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170323T130000
DTEND;TZID=America/Sao_Paulo:20170323T140000
SUMMARY:IoT Device Management - Class 3
DESCRIPTION:In our final class\, we will look at the “Things” of the IoT –
our nodes and devices – and how we can effectively commission\, monitor\,
and detect problems in them throughout their lifecycle. We will look at me
thods for adding device management capabilities in our devices from the de
sign stage as well as ways to add these capabilities in the legacy devices
we looked at in the previous class. One topic we will look at is effectiv
e commissioning and decommissioning of devices in the network – how can we
add or remove a node from the network without adversely impacting the res
t of the network? Another area we will look at is security assurance and t
esting: how can we be sure of the device security – and how can we test it
? And lastly we will look at maintenance. What methods can we use for test
ing devices or paths within the network and how can we effectively and saf
ely perform system updates? To conclude our class\, we will review our che
cklist and see what other issues we may need to be aware of in our IoT des
ign. Day 3 sponsored by Wind River. REGISTER NOW FOR CLASS 3
X-ALT-DESC;FMTTYPE=text/html: In our final class\, we will look at the &ldquo\;Things&rdquo\; of
the IoT &ndash\; our nodes and devices &ndash\; and how we can effectivel
y commission\, monitor\, and detect problems in them throughout their life
cycle. We will look at methods for adding device management capabilities i
n our devices from the design stage as well as ways to add these capabilit
ies in the legacy devices we looked at in the previous class. One topic we
will look at is effective commissioning and decommissioning of devices in
the network &ndash\; how can we add or remove a node from the network wit
hout adversely impacting the rest of the network? Another area we will loo
k at is security assurance and testing: how can we be sure of the device s
ecurity &ndash\; and how can we test it? And lastly we will look at mainte
nance. What methods can we use for testing devices or paths within the net
work and how can we effectively and safely perform system updates? To conc
lude our class\, we will review our checklist and see what other issues we
may need to be aware of in our IoT design. Day 3 sponsored by Wind River.
REGISTER
NOW FOR CLASS 3  \;
CATEGORIES:Education
UID:20170208T1722390Z-511394-1205@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170424T150000
DTEND;TZID=America/Sao_Paulo:20170424T160000
SUMMARY:What Embedded and IoT Developers Think About IoT Security: A Look a
t Survey Data
DESCRIPTION:Not surprisingly\, many are concerned about security and see it
as important. But they’re still figuring out how to implement it and wher
e security is integrated into the complex ecosystem that often includes da
ta\, connectivity and endpoints. On April 24\, Richard Nass\, Embedded C
omputing Design (ECD) brand director\, and Stacy Cannady\, from Cisco Syst
ems and Trusted Computing Group (TCG)\, will talk about the results of the
survey and why they’re relevant to designers and developers. The webcast
will look at emerging trends and challenges to security\, as well as how s
ecurity can be implemented potentially in a number of embedded and IoT app
lications. Join Rich and Stacy and bring your questions. Registrants als
o will get a report with data and findings. Webcast Details: What Embedd
ed and IoT Developers Think About IoT Security: A Look at Survey Data Mond
ay\, April 24\, 2017 10:00 a.m. Pacific/1:00 p.m. Eastern The event is f
ree\, but registration is required to attend.
X-ALT-DESC;FMTTYPE=text/html:Not surprisingly\, many are concerned about
security and see it as important. But they&rsquo\;re still figuring out h
ow to implement it and where security is integrated into the complex ecosy
stem that often includes data\, connectivity and endpoints.  \
; On April 24\, Richard Nass\, Embedded Computing Design (ECD) bra
nd director\, and Stacy Cannady\, from Cisco Systems and Trusted Computing
Group (TCG)\, will talk about the results of the survey and why they&rsqu
o\;re relevant to designers and developers. The webcast will look at emerg
ing trends and challenges to security\, as well as how security can be imp
lemented potentially in a number of embedded and IoT applications.  \; Join Rich and Stacy and bring your questions. Registrants
also will get a report with data and findings.  \; Webcast Details: What Embedded and IoT Developers Think A
bout IoT Security: A Look at Survey Data Monday\, April 24\, 2
017 10:00 a.m. Pacific/1:00 p.m. Eastern  \;
The event is free\, but registration is required to attend.
CATEGORIES:Education
UID:20170328T1818020Z-511394-1300@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170406T120000
DTEND;TZID=America/Sao_Paulo:20170406T130000
SUMMARY:Integrating a rich GUI into your next IoT application
DESCRIPTION:Thank you to those who joined part two of this webinar series.
If you were unable to join or would like to revisit the information\, you
may access both webinars on demand at the links provided below. As a great
platform for graphics\, you have many choices of support with the LPC546x
x MCU. We showcase a few in this webinar series. Please join us for the fi
nal webinar in this series to learn how LPC and our ecosystem of partners
can help you achieve the GUI you need. Register now for part three of this
three‐part series: On demand . Now . . TouchGFX: Empower your embedded di
splay with dashing graphics fast and easy with a real-time WYSIWYG UI desi
gner . . Click here » . . . . On Demand . NOW . . . Embedded Wizard: Learn
how GUI development on LPC546xx devices can be just like magic . Click
Here » . . . April . 6 . . . emWin: Design and develop a fully featured
GUI for free . 10 AM CDT | Register » .
X-ALT-DESC;FMTTYPE=text/html:Thank you to those who joined part two of t
his webinar series. If you were unable to join or would like to revisit th
e information\, you may access both webinars on demand at the links provid
ed below. As a great platform for graphics\, you have many choices
of support with the LPC546xx MCU. We showcase a few in this webinar serie
s. Please join us for the final webinar in this series to learn how LPC an
d our ecosystem of partners can help you achieve the GUI you need. Register now for part three of this three‐part series: On demand
. Now . | . . On Deman
d . NOW . . . Embedded Wizard:
Learn how GUI development on LPC546xx devices can be just like magic
. |  \;  \; . | .
. | | April . 6 . . . emWin: Desig
n and develop a fully featured GUI for free
. |
. | |
 \;
CATEGORIES:Education
UID:20170328T1810400Z-511394-1298@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170411T160000
DTEND;TZID=America/Sao_Paulo:20170411T170000
SUMMARY:The Inside Story: How the IIC’s Connectivity Framework Guides IIoT
Connectivity Selection
DESCRIPTION: The Industrial Internet of Things (IIoT) landscape today is a
confusing mix of proprietary connectivity technologies and standards. Som
e are general purpose\, some are mostly appropriate for enterprise applica
tions\, and others are optimized for a narrow set of domain-specific use c
ases in vertically integrated systems. This confusion hinders the ability
to share data that IIoT systems need. Architects need clarity on how to ef
fectively share data between IIoT components and systems. To address this
confusion\, the Industrial Internet Consortium (IIC) just released the Ind
ustrial Internet Connectivity Framework (IICF). It is the result of years
of work by many organizations and the only extensive connectivity analysis
by multiple experts from many companies at a major consortium. More impor
tantly\, it is not just high-level design. It provides detailed\, useful\,
tangible\, and practical guidance for those building IIoT solutions today
. The IICF crystallizes the requirements\, layers\, functions\, and consid
erations of the IIoT connectivity stack. Specifically\, it defines a new f
ramework layer as an essential element of the IIoT connectivity puzzle. Th
e IICF includes a deep assessment template to evaluate any connectivity te
chnology and place it correctly on the stack. It then catalogs common IIoT
standards\, evaluating them against the template. The IICF thus establish
es a starting point for accelerating connectivity technology selection. Wi
th the guidance of the IICF\, system developers now have a clear roadmap f
or navigating the IIoT connectivity landscape. The framework clarifies the
connectivity stack\, provides an assessment template for system architect
s evaluating connectivity technologies\, defines a reference architecture
for data sharing and establishes criteria for core connectivity standards.
It sorts out differences and applicability between connectivity framework
s standards such as DDS\, OPC-UA\, oneM2M\, and connectivity transports st
andards such as MQTT\, CoAP\, HTTP that are sometimes used to build ad-hoc
frameworks. In this webinar\, Dr. Stan Schneider\, CEO of RTI and IIC Ste
ering Committee member\, and Dr. Rajive Joshi\, Principal Solution Archite
ct at RTI and Co-Chair of the IIC Connectivity Task Group\, will discuss t
he significance of the IICF in accelerating IoT development and the analys
is of current standards. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| |
table> The Industrial Internet of Things
(IIoT) landscape today is a confusing mix of proprietary connectivity tec
hnologies and standards. Some are general purpose\, some are mostly approp
riate for enterprise applications\, and others are optimized for a narrow
set of domain-specific use cases in vertically integrated systems. This co
nfusion hinders the ability to share data that IIoT systems need. Architec
ts need clarity on how to effectively share data between IIoT components a
nd systems. To address this confusio
n\, the Industrial Internet Consortium (IIC) just released the Industrial
Internet Connectivity Framework (IICF). It is the result of years of work
by many organizations and the only extensive connectivity analysis by mult
iple experts from many companies at a major consortium. More importantly\,
it is not just high-level design. It provides detailed\, useful\, tangibl
e\, and practical guidance for those building IIoT solutions today. <
p style='text-align: justify\;'>The IICF crystallizes the requirements\, l
ayers\, functions\, and considerations of the IIoT connectivity stack. Spe
cifically\, it defines a new framework layer as an essential element of th
e IIoT connectivity puzzle. The IICF includes a deep assessment template t
o evaluate any connectivity technology and place it correctly on the stack
. It then catalogs common IIoT standards\, evaluating them against the tem
plate. The IICF thus establishes a starting point for accelerating connect
ivity technology selection. With the
guidance of the IICF\, system developers now have a clear roadmap for nav
igating the IIoT connectivity landscape. The framework clarifies the conne
ctivity stack\, provides an assessment template for system architects eval
uating connectivity technologies\, defines a reference architecture for da
ta sharing and establishes criteria for core connectivity standards. It so
rts out differences and applicability between connectivity frameworks stan
dards such as DDS\, OPC-UA\, oneM2M\, and connectivity transports standard
s such as MQTT\, CoAP\, HTTP that are sometimes used to build ad-hoc frame
works. In this webinar\, Dr. Stan Sc
hneider\, CEO of RTI and IIC Steering Committee member\, and Dr. Rajive Jo
shi\, Principal Solution Architect at RTI and Co-Chair of the IIC Connecti
vity Task Group\, will discuss the significance of the IICF in acceleratin
g IoT development and the analysis of current standards.  \; REGISTER NOW
CATEGORIES:Education
UID:20170321T1413000Z-511394-1280@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170314T160000
DTEND;TZID=America/Sao_Paulo:20170314T170000
SUMMARY:Using UVC LEDs to Reduce the Spread of Healthcare Acquired Infectio
ns (HAIs)
DESCRIPTION:According to the FDA\, contact with infected objects and medica
l devices is a leading cause of healthcare associated infections (HAIs). R
educing HAIs by better understanding the effectiveness of antimicrobials\,
sterilization\, and reprocessing of medical devices is one of the agency'
s regulatory science priorities for 2017. It is more critical than ever fo
r healthcare OEMs to adopt technologies that will help reduce HAIs\, there
by improving clinician safety and safeguarding patient outcomes both in th
e hospital and after returning home. Integrating UVC LED technology can be
a key differentiator for OEMs as they look for new methods to address the
growing HAI problem. This Webinar looks at how R&D departments and produc
t engineers can satisfy specific target log reductions by understanding do
sage as it relates to exposure time and UVC intensity. For more informat
ion and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:According to the FDA\, contact with infecte
d objects and medical devices is a leading cause of healthcare associated
infections (HAIs). Reducing HAIs by better understanding the effectiveness
of antimicrobials\, sterilization\, and reprocessing of medical devices i
s one of the agency's regulatory science priorities for 2017.
It is more critical than ever for healthcare OEMs to adopt technologies t
hat will help reduce HAIs\, thereby improving clinician safety and safegua
rding patient outcomes both in the hospital and after returning home. Inte
grating UVC LED technology can be a key differentiator for OEMs as they lo
ok for new methods to address the growing HAI problem.
This W
ebinar looks at how R&\;D departments and product engineers can satisfy
specific target log reductions by understanding dosage as it relates to e
xposure time and UVC intensity.  \; For more information
and to register\, click he
re.
CATEGORIES:Education
UID:20170310T1523230Z-511394-1258@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170216T170000
DTEND;TZID=America/Sao_Paulo:20170216T180000
SUMMARY:Embedded System Design Techniques™ - Designing API's and HAL's for
Real-time Embedded Systems - DAY 4
DESCRIPTION:Each year developing embedded software becomes slightly more co
mplex and costly as more features and connectivity are added to systems. D
evelopers are faced with finding ways to develop systems that increase fun
ctionality and at a minimum maintain the budget status quo. In this course
\, attendees will be walked through techniques for designing reusable embe
dded software through API's and HAL's. Characteristics for reusable softwa
re will be explored along with their advantages and pitfalls. Real world e
xamples will be provided to give attendees an understanding for the spectr
um that is available to them. February 16 – Day 4: Utilizing Existing API’
s and HAL’s Some developers may not be interested in taking the time to de
velop their own API’s and HAL’s but instead would rather utilize what has
already been created within the industry. In this session\, we will begin
to examine the API’s and HAL’s associated with the Renesas Synergy™ Platfo
rm and review important terminology such as interfaces\, objects and insta
nces. We will look at how reusability and API’s can be applied to an exter
nal interrupt controller.
X-ALT-DESC;FMTTYPE=text/html:Each year dev
eloping embedded software becomes slightly more complex and costly as more
features and connectivity are added to systems. Developers are faced with
finding ways to develop systems that increase functionality and at a mini
mum maintain the budget status quo.
In this course\, attendees
will be walked through techniques for designing reusable embedded software
through API's and HAL's. Characteristics for reusable software will be ex
plored along with their advantages and pitfalls. Real world examples will
be provided to give attendees an understanding for the spectrum that is av
ailable to them. Some developers may not be interested in ta
king the time to develop their own API&rsquo\;s and HAL&rsquo\;s but inste
ad would rather utilize what has already been created within the industry.
In this session\, we will begin to examine the API&rsquo\;s and HAL&rsquo
\;s associated with the Renesas Synergy&trade\; Platform and review import
ant terminology such as interfaces\, objects and instances. We will look a
t how reusability and API&rsquo\;s can be applied to an external interrupt
controller.
CATEGORIES:Education
UID:20170208T1737140Z-511394-1210@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170215T170000
DTEND;TZID=America/Sao_Paulo:20170215T180000
SUMMARY:Embedded System Design Techniques™ - Designing API's and HAL's for
Real-time Embedded Systems - DAY 3
DESCRIPTION:Each year developing embedded software becomes slightly more co
mplex and costly as more features and connectivity are added to systems. D
evelopers are faced with finding ways to develop systems that increase fun
ctionality and at a minimum maintain the budget status quo. In this course
\, attendees will be walked through techniques for designing reusable embe
dded software through API's and HAL's. Characteristics for reusable softwa
re will be explored along with their advantages and pitfalls. Real world e
xamples will be provided to give attendees an understanding for the spectr
um that is available to them. February 15 – Day 3: Designing API’s and HAL
’s Part 2 This session continues the previous session by walking developer
s through more API and HAL design. Developers will learn more about how to
write configurable code that can be easily used from one application to t
he next. Attendees will learn how to develop their own flash\, EEPROM and
Uart API’s and HAL’s.
X-ALT-DESC;FMTTYPE=text/html:Each year dev
eloping embedded software becomes slightly more complex and costly as more
features and connectivity are added to systems. Developers are faced with
finding ways to develop systems that increase functionality and at a mini
mum maintain the budget status quo.
In this course\, attendees
will be walked through techniques for designing reusable embedded software
through API's and HAL's. Characteristics for reusable software will be ex
plored along with their advantages and pitfalls. Real world examples will
be provided to give attendees an understanding for the spectrum that is av
ailable to them. This session continues the previous session by
walking developers through more API and HAL design. Developers will learn
more about how to write configurable code that can be easily used from one
application to the next. Attendees will learn how to develop their own fl
ash\, EEPROM and Uart API&rsquo\;s and HAL&rsquo\;s.
CATEGORIES:Education
UID:20170208T1736100Z-511394-1209@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170209T130000
DTEND;TZID=America/Sao_Paulo:20170209T140000
SUMMARY:SpaceClaim 2017 Release Webinar
DESCRIPTION:ANSYS SpaceClaim 2017 is full of enhancements that further inte
grate ease of use and rapid geometry manipulation capabilities. From large
changes to behind the scenes enhancements\, you’ll notice efficiency impr
ovements across the board. Attend this webinar to learn about major enhanc
ements such as: -3-D printing and topology optimization -visualization thr
ough fly-through mode -scripting improvements\, such as replayability We'l
l walk you through the highlights and talk about other important enhanceme
nts related to general modeling workflows. Sign up today! REGISTER
X-ALT-DESC;FMTTYPE=text/html:ANSYS SpaceCl
aim 2017 is full of enhancements that further integrate ease of use and ra
pid geometry manipulation capabilities. From large changes to behind the s
cenes enhancements\, you&rsquo\;ll notice efficiency improvements across t
he board. Attend this webinar to learn about major enhancemen
ts such as: -3-D printing and topology optimization -visualiza
tion through fly-through mode -scripting improvements\, such as repl
ayability We'll walk you through the highlights and talk abou
t other important enhancements related to general modeling workflows. Sign
up today!  \; REGISTER
CATEGORIES:Education
UID:20170208T1728390Z-511394-1206@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170214T170000
DTEND;TZID=America/Sao_Paulo:20170214T180000
SUMMARY:Embedded System Design Techniques™ - Designing API's and HAL's for
Real-time Embedded Systems - DAY 2
DESCRIPTION:Each year developing embedded software becomes slightly more co
mplex and costly as more features and connectivity are added to systems. D
evelopers are faced with finding ways to develop systems that increase fun
ctionality and at a minimum maintain the budget status quo. In this course
\, attendees will be walked through techniques for designing reusable embe
dded software through API's and HAL's. Characteristics for reusable softwa
re will be explored along with their advantages and pitfalls. Real world e
xamples will be provided to give attendees an understanding for the spectr
um that is available to them. February 14 – Day 2: Designing API’s and HAL
’s Part 1 Developers need to think a lot up front about how to develop th
eir own APIs. In this session\, Jacob will discuss important consideration
s such as performance\, code space and reusable and how they apply to the
API and HAL design. Attendees will also learn the steps required to start
developing their own API’s with a basic example being presented for GPIO.
X-ALT-DESC;FMTTYPE=text/html:Each year dev
eloping embedded software becomes slightly more complex and costly as more
features and connectivity are added to systems. Developers are faced with
finding ways to develop systems that increase functionality and at a mini
mum maintain the budget status quo.
In this course\, attendees
will be walked through techniques for designing reusable embedded software
through API's and HAL's. Characteristics for reusable software will be ex
plored along with their advantages and pitfalls. Real world examples will
be provided to give attendees an understanding for the spectrum that is av
ailable to them. Developers need to think a lot up front about how to develop th
eir own APIs. In this session\, Jacob will discuss important consideration
s such as performance\, code space and reusable and how they apply to the
API and HAL design. Attendees will also learn the steps required to start
developing their own API&rsquo\;s with a basic example being presented for
GPIO.
CATEGORIES:Education
UID:20170208T1735130Z-511394-1208@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170217T170000
DTEND;TZID=America/Sao_Paulo:20170217T180000
SUMMARY:Embedded System Design Techniques™ - Designing API's and HAL's for
Real-time Embedded Systems - DAY 5
DESCRIPTION:Each year developing embedded software becomes slightly more co
mplex and costly as more features and connectivity are added to systems. D
evelopers are faced with finding ways to develop systems that increase fun
ctionality and at a minimum maintain the budget status quo. In this course
\, attendees will be walked through techniques for designing reusable embe
dded software through API's and HAL's. Characteristics for reusable softwa
re will be explored along with their advantages and pitfalls. Real world e
xamples will be provided to give attendees an understanding for the spectr
um that is available to them. February 17 – Day 5: API and HAL Trade-offs
and Benefits In this session\, Jacob will review important concepts relate
d to API’s\, HAL’s and provide guidance on how attendees can start designi
ng their own. Looking at the benefits\, timeline and potential costs along
with strategies to get started. Additional API examples and sources will
also be provided for attendees to review and use in their own development
processes.
X-ALT-DESC;FMTTYPE=text/html:Each year dev
eloping embedded software becomes slightly more complex and costly as more
features and connectivity are added to systems. Developers are faced with
finding ways to develop systems that increase functionality and at a mini
mum maintain the budget status quo.
In this course\, attendees
will be walked through techniques for designing reusable embedded software
through API's and HAL's. Characteristics for reusable software will be ex
plored along with their advantages and pitfalls. Real world examples will
be provided to give attendees an understanding for the spectrum that is av
ailable to them. In this session\, Jacob will review important concepts
related to API&rsquo\;s\, HAL&rsquo\;s and provide guidance on how attend
ees can start designing their own. Looking at the benefits\, timeline and
potential costs along with strategies to get started. Additional API examp
les and sources will also be provided for attendees to review and use in t
heir own development processes.
CATEGORIES:Education
UID:20170208T1738230Z-511394-1211@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161116T160000
DTEND;TZID=America/Sao_Paulo:20161116T170000
SUMMARY:Code Sharing\, Collaboration\, and Version Control: An Hands-on Int
roduction to Git and Github - Day 3
DESCRIPTION:Today's development tools for generating code give engineers an
d developers everything they need to write that code - yet many times\, we
don't go it alone. In order to efficiently work together on code projects
\, we need collaboration tools that allow multiple people to work on code
securely without making conflicting changes to the same code without recon
ciliation. We also need efficient sharing and reuse of code\, tracking of
bugs and other issues\, and archival of revisions. One such tool is the po
pular program Git. November 16 - Day 3: GitHub Hands-On\, Part 1 For our
third class\, we will delve into the popular GitHub site and will look at
that implementation of Git in detail. We will explore set up of a free ac
count for public shares and set up a share area for collaboration.
X-ALT-DESC;FMTTYPE=text/html:Today's devel
opment tools for generating code give engineers and developers everything
they need to write that code - yet many times\, we don't go it alone. In o
rder to efficiently work together on code projects\, we need collaboration
tools that allow multiple people to work on code securely without making
conflicting changes to the same code without reconciliation. We also need
efficient sharing and reuse of code\, tracking of bugs and other issues\,
and archival of revisions. One such tool is the popular program Git.
 \; - November 16 - Day 3: GitHub Hands-On\, Part 1
For our third class\, we will delve into the popular GitHub site and
will look at that implementation of Git in detail. We will explore set up
of a free account for public shares and set up a share area for collabora
tion.
CATEGORIES:Education
UID:20161112T2143140Z-511394-1118@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161117T160000
DTEND;TZID=America/Sao_Paulo:20161117T170000
SUMMARY:Code Sharing\, Collaboration\, and Version Control: An Hands-on Int
roduction to Git and Github - Day 4
DESCRIPTION:Today's development tools for generating code give engineers an
d developers everything they need to write that code - yet many times\, we
don't go it alone. In order to efficiently work together on code projects
\, we need collaboration tools that allow multiple people to work on code
securely without making conflicting changes to the same code without recon
ciliation. We also need efficient sharing and reuse of code\, tracking of
bugs and other issues\, and archival of revisions. One such tool is the po
pular program Git. November 17 - Day 4: GitHub Hands-On\, Part 2 In this
penultimate class\, we will take what we learned in the previous class an
d make use of the existing shares to reuse and build upon other’s code\, u
sing examples for the Particle Photon board that we used in a previous CEC
class. We will also look at how to set up an account for private shares a
nd make use of the system for commercial development.
X-ALT-DESC;FMTTYPE=text/html:Today's devel
opment tools for generating code give engineers and developers everything
they need to write that code - yet many times\, we don't go it alone. In o
rder to efficiently work together on code projects\, we need collaboration
tools that allow multiple people to work on code securely without making
conflicting changes to the same code without reconciliation. We also need
efficient sharing and reuse of code\, tracking of bugs and other issues\,
and archival of revisions. One such tool is the popular program Git.
 \; - November 17 - Day 4: GitHub Hands-On\, Part 2
In this penultimate class\, we wi
ll take what we learned in the previous class and make use of the existing
shares to reuse and build upon other&rsquo\;s code\, using examples for t
he Particle Photon board that we used in a previous CEC class. We will als
o look at how to set up an account for private shares and make use of the
system for commercial development.
CATEGORIES:Education
UID:20161112T2154030Z-511394-1119@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161118T160000
DTEND;TZID=America/Sao_Paulo:20161118T170000
SUMMARY:Code Sharing\, Collaboration\, and Version Control: An Hands-on Int
roduction to Git and Github - Day 5
DESCRIPTION:Today's development tools for generating code give engineers an
d developers everything they need to write that code - yet many times\, we
don't go it alone. In order to efficiently work together on code projects
\, we need collaboration tools that allow multiple people to work on code
securely without making conflicting changes to the same code without recon
ciliation. We also need efficient sharing and reuse of code\, tracking of
bugs and other issues\, and archival of revisions. One such tool is the po
pular program Git. November 18 - Day 5: Local Clients and Other Hosts In
our last class\, we will look at local clients for code version control a
nd collaboration\, including some other tools available such as Atlassian’
s Bitbucket.
X-ALT-DESC;FMTTYPE=text/html:Today's devel
opment tools for generating code give engineers and developers everything
they need to write that code - yet many times\, we don't go it alone. In o
rder to efficiently work together on code projects\, we need collaboration
tools that allow multiple people to work on code securely without making
conflicting changes to the same code without reconciliation. We also need
efficient sharing and reuse of code\, tracking of bugs and other issues\,
and archival of revisions. One such tool is the popular program Git.
 \;
CATEGORIES:Education
UID:20161112T2200330Z-511394-1120@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170213T170000
DTEND;TZID=America/Sao_Paulo:20170213T180000
SUMMARY:Embedded System Design Techniques™ - Designing API's and HAL's for
Real-time Embedded Systems - DAY 1
DESCRIPTION:Each year developing embedded software becomes slightly more co
mplex and costly as more features and connectivity are added to systems. D
evelopers are faced with finding ways to develop systems that increase fun
ctionality and at a minimum maintain the budget status quo. In this course
\, attendees will be walked through techniques for designing reusable embe
dded software through API's and HAL's. Characteristics for reusable softwa
re will be explored along with their advantages and pitfalls. Real world e
xamples will be provided to give attendees an understanding for the spectr
um that is available to them. February 13 – Day 1: Developing Reusable Emb
edded Software Before a developer can start developing their own APIs and
HALs\, they need to understand a few critical characteristics that all por
table and reusable software exhibit. In this session\, Jacob will dig into
the details on how to write reusable code\, including how to select a lan
guage subset\, encapsulate\, document\, develop interfaces and more. Atten
dees will walk away understanding how to write software that can be reused
.
X-ALT-DESC;FMTTYPE=text/html:Each year dev
eloping embedded software becomes slightly more complex and costly as more
features and connectivity are added to systems. Developers are faced with
finding ways to develop systems that increase functionality and at a mini
mum maintain the budget status quo.
In this course\, attendees
will be walked through techniques for designing reusable embedded software
through API's and HAL's. Characteristics for reusable software will be ex
plored along with their advantages and pitfalls. Real world examples will
be provided to give attendees an understanding for the spectrum that is av
ailable to them. Before a developer can start developing their own
APIs and HALs\, they need to understand a few critical characteristics tha
t all portable and reusable software exhibit. In this session\, Jacob will
dig into the details on how to write reusable code\, including how to sel
ect a language subset\, encapsulate\, document\, develop interfaces and mo
re. Attendees will walk away understanding how to write software that can
be reused.
CATEGORIES:Education
UID:20170208T1734100Z-511394-1207@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170726T150000
DTEND;TZID=America/Sao_Paulo:20170726T160000
SUMMARY:Trends Driving Innovations in Optical Product Design
DESCRIPTION:Companies developing cutting-edge optical products—from virtual
reality to autonomous vehicles—can gain an enormous competitive advantage
by getting their products to market ahead of their competitors. In this 6
0-minute Webinar\, we'll be examining: Three key trends that are changing
the way companies develop optical products. New ways to work that are crit
ical to winning in the marketplace. A new workflow for those in the optics
industry to go from concept to sales in record time. We will identify the
tools needed for optical and mechanical engineers to maintain design fide
lity and achieve powerful time savings which means fewer failures and high
er profitability. An audience Q&A will follow the presentation. For more
information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Companies developing cutting-edge optical p
roducts&mdash\;from virtual reality to autonomous vehicles&mdash\;can gain
an enormous competitive advantage by getting their products to market ahe
ad of their competitors. In this 60-minute Webinar\, we'll be
examining: - Three key trends that are changing the way comp
anies develop optical products.
- New ways to work that are critic
al to winning in the marketplace.
- A new workflow for those in th
e optics industry to go from concept to sales in record time.
We will identify the tools needed for optical and mechanical engineer
s to maintain design fidelity and achieve powerful time savings which mean
s fewer failures and higher profitability. An audience Q&
\;A will follow the presentation.  \; For more informa
tion and to register\, click here.
CATEGORIES:Education
UID:20170628T1307170Z-511394-1458@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180808T140000
DTEND;TZID=America/Sao_Paulo:20180808T150000
SUMMARY:Webinar: É possível rodar Linux com menos de 10 MB de RAM?
DESCRIPTION: A linha RZ/A1 da Renesas junta o melhor de dois mundos: A fac
ilidade de projeto de um sistema com MCU e a versatilidade do Linux. Esta
plataforma conta com um poderoso Cortex A9 @ 400Mhz \, diversos periférico
s e até 10MB de SRAM. Aliado a este poderoso MPU\, a Renesas fomenta a in
iciativa Linux XIP (eXecute-In-Place) que permite que o Linux rode em um a
mbiente com menos de 10MB de RAM. Está abordagem permite ao usuário desenv
olver um sistema Linux num hardware muito mais simples\, sem a dependência
de memória DDR ou SDRAM além de possibilitar um boot em menos de 3s!!!! V
enha conhecer mais detalhes no webinar. Objetivo do Webinar Mostrar a
linha RZ/A1 da Renesas\, seus sistemas de desenvolvimento\, e o Linux XIP.
Inscreva-se gratuitamente no link abaixo Webinar: É possível rodar Linu
x com menos de 10 MB de RAM?
X-ALT-DESC;FMTTYPE=text/html: \; A linha RZ/A1 da Renesas junta o melhor de dois mundos
: A facilidade de projeto de um sistema com MCU e a versatilidade do Linux
. Esta plataforma conta com um poderoso Cortex A9 @ 400Mhz \, diversos per
ifé\;ricos e até\; 10MB de SRAM.  \;Aliado a este poderoso
MPU\, a Renesas fomenta a iniciativa Linux XIP (eXecute-In-Place) que per
mite que o Linux rode em um ambiente com menos de 10MB de RAM. Está\
; abordagem permite ao usuá\;rio desenvolver um sistema Linux num ha
rdware muito mais simples\, sem a dependê\;ncia de memó\;ria DD
R ou SDRAM alé\;m de possibilitar um boot em menos de 3s!!!! Venha c
onhecer mais detalhes no webinar.  \; Objetivo do Webinar  \; Mostrar a linha RZ/A1 da Renesas\, seus sistemas de desenvolvimento
\, e o Linux XIP.  \;
Inscreva-se gratuitamente no link abai
xo Webinar: É\; possí\;vel rodar Linux com menos de 10 MB de
RAM?  \; |
td> |  \; | <
/tr>  \; |
CATEGORIES:Education
UID:20180805T2147430Z-511394-1844@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170223T090000
DTEND;TZID=America/Sao_Paulo:20170223T100000
SUMMARY:Traffic Signal Techniques to Support Network Operation Planning
DESCRIPTION:Traffic signals are a fundamental component of network operatio
ns\, and with increasing congestion and multi-modal use of the network\, t
here is more pressure on signal operators to proactively optimise operatio
ns for the benefit of all users\, including motorists\, public transport\,
cyclists\, pedestrians and freight. This webinar will outline various tra
ffic signal techniques and how they may be used to implement network opera
tion plans to support various road use priorities. The material in this we
binar forms part of the recent update of the Austroads Guide to Traffic Ma
nagement Part 9: Traffic Operations. No charge but registration is essenti
al. Register now Presenter: Dr Ian Espada\, Team Leader\, Network Operatio
ns and Management at ARRB Group. Ian holds degrees in traffic engineering
and transport planning from the University of Tokyo (PhD) and Asian Instit
ute of Technology (MSCE)\, as well as in civil engineering from Central Ph
ilippine University (BSCE). He joined the Network Operations team of ARRB
Group in 2008\, and is also a lecturer on transport demand modelling at Sw
inburne University.
X-ALT-DESC;FMTTYPE=text/html:Traffic signa
ls are a fundamental component of network operations\, and with increasing
congestion and multi-modal use of the network\, there is more pressure on
signal operators to proactively optimise operations for the benefit of al
l users\, including motorists\, public transport\, cyclists\, pedestrians
and freight. This webinar will outli
ne various traffic signal techniques and how they may be used to implement
network operation plans to support various road use priorities. The material \;in this webinar forms part
of the recent \;update of the Austroads Guide to Traffic Management P
art 9: Traffic Operations. No ch
arge \;but registration is essential. Register now Presenter: Dr Ian Espada\, Team Leader\
, Network Operations and Management at ARRB Group. Ian holds degrees in tr
affic engineering and transport planning from the University of Tokyo (PhD
) and Asian Institute of Technology (MSCE)\, as well as in civil engineeri
ng from Central Philippine University (BSCE). He joined the Network Operat
ions team of ARRB Group in 2008\, and is also a lecturer on transport dema
nd modelling at Swinburne University.  \;
CATEGORIES:Education
UID:20170220T1256010Z-511394-1223@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170711T150000
DTEND;TZID=America/Sao_Paulo:20170711T160000
SUMMARY:Automating Everyday Test and Measurement Tasks in Minutes
DESCRIPTION: Why this webcast is important Manually testing DUTs have been
common place on engineering benches for decades. During the design phase
of your development\, it's often more practical to manually test your desi
gn rather than spend the effort to automate tests. You know that automatin
g tests provide more test coverage\, are easier to scale\, and are more re
peatable. However\, the trade-off of automated tests is that it takes time
to develop them. Not only do you need knowledge of your chosen programmin
g language\, but also the instrument’s programming conventions to control
your test instrument via your PC. In the past\, this has consumed days to
months of development time in order to automate even the simplest of tests
. With newer software programs\, you can not only develop automated tests
in minutes\, but also run and quickly visualize the results. Join us on
Wednesday\, July 19th to learn how modern software tools can help you deve
lop automated sequences as simply as using the front panel of your instrum
ents. Who should attend Engineers who would like to more easily set up\,
control\, automate\, and get results from their bench test instruments.
X-ALT-DESC;FMTTYPE=text/html: \; Why this webcast is important Manually testing DUTs
have been common place on engineering benches for decades. During the des
ign phase of your development\, it's often more practical to manually test
your design rather than spend the effort to automate tests. You know that
automating tests provide more test coverage\, are easier to scale\, and a
re more repeatable. However\, the trade-off of automated tests is that it
takes time to develop them. Not only do you need knowledge of your chosen
programming language\, but also the instrument&rsquo\;s programming conven
tions to control your test instrument via your PC. In the past\, this has
consumed days to months of development time in order to automate even the
simplest of tests. With newer software programs\, you can not only develop
automated tests in minutes\, but also run and quickly visualize the resul
ts.  \; Join us
on Wednesday\, July 19th to learn how modern software tools can help you d
evelop automated sequences as simply as using the front panel of your inst
ruments.  \; Who sh
ould attend Engineers who would like to more easily
set up\, control\, automate\, and get results from their bench test instru
ments.  \;  \;
CATEGORIES:Education
UID:20170711T1928060Z-511394-1462@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170712T143000
DTEND;TZID=America/Sao_Paulo:20170712T153000
SUMMARY:5 Hidden Obstacles to Reducing Your Development & Operating Cost th
is Year
DESCRIPTION: Companies managing their bill of materials and change order p
rocesses with traditional spreadsheet and email methods will always face u
n-scalable obstacles towards reducing development time and operating costs
. Top performing companies with higher revenue growth\, margin expansion\,
and portfolio expansion found a better way to avoid the five most common
barriers and develop new products. In this Engineering Live\, our expert p
anel will discuss the obstacles you encountered and will tackle strategies
for reducing development and operating costs. Our expert panel will dis
cuss: • Catching and dealing with quality issues before they become a pr
oblem. • Strategies to avoid scrap and rework that can drive development c
osts up. • Tactics for managing material and supplier expenses. • Methods
for tackling inefficient development processes. • Developing and paying at
tention to 'Time to Market' product launches.
X-ALT-DESC;FMTTYPE=text/html: \; Companies managing their bill of material
s and change order processes with traditional spreadsheet and email method
s will always face un-scalable obstacles towards reducing development time
and operating costs. Top performing companies with higher revenue growth\
, margin expansion\, and portfolio expansion found a better way to avoid t
he five most common barriers and develop new products. In this Engineering
Live\, our expert panel will discuss the obstacles you encountered and wi
ll tackle strategies for reducing development and operating costs.<
/p>  \; Our expert panel will discus
s:  \; &bull\; | Catching and dealing with
quality issues before they become a problem. |
&bull\; Strategies to avoid scrap and rework that can drive development cost
s up. | &bull\; | Tactics for managing material and
supplier expenses. | &bull\; | Methods for tackling
inefficient development processes. | &bull\; |
Developing and paying attention to 'Time to Market' product launches. |  \;
CATEGORIES:Education
UID:20170711T1911030Z-511394-1460@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170809T160000
DTEND;TZID=America/Sao_Paulo:20170809T170000
SUMMARY:Sorting Out Sensors\, Software\, and Processing for Automotive Safe
ty and Autonomous Drive
DESCRIPTION: Active safety systems (and eventually\, autonomous vehicles
) rely on a range of technologies to ensure safe\, reliable operation\, in
cluding radar ICs of various frequencies\, LiDAR units\, high-resolution 3
60º camera systems\, and more. Powerful processors/electronics control uni
ts (ECUs) and sophisticated software algorithms are also required to fuse
these data inputs in real-time so that drivers (and cars themselves) can m
ake split-second decisions that could prevent accidents. Are all of these
really necessary\, and\, if so\, where does each of them fit? In this Open
Systems Media E-cast\, discover how a diverse set of technologies is comin
g together to enable the active safety and autonomous drive systems of the
future. In addition to the individual components and subsystems\, their p
lace in next-generation vehicle architectures will be assessed in pursuit
of designs that will bring fewer operator errors and more peace of mind to
the road. Sponsors: LDRA\, National Instruments\, Texas Instruments\, Wit
tenstein Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; | | Active safety systems (and eventually\, autonomous vehi
cles) rely on a range of technologies to ensure safe\, reliable operation\
, including radar ICs of various frequencies\, LiDAR units\, high-resoluti
on 360º\; camera systems\, and more. Powerful processors/electronics c
ontrol units (ECUs) and sophisticated software algorithms are also require
d to fuse these data inputs in real-time so that drivers (and cars themsel
ves) can make split-second decisions that could prevent accidents. Are all
of these really necessary\, and\, if so\, where does each of them fit? In this OpenSystems Media E-cast\, discover how a diverse set of tec
hnologies is coming together to enable the active safety and autonomous dr
ive systems of the future. In addition to the individual components and su
bsystems\, their place in next-generation vehicle architectures will be as
sessed in pursuit of designs that will bring fewer operator errors and mor
e peace of mind to the road. | Sponsors: LDR
A\, National Instruments\, Texas Instruments\, Wittenstein Moderator: \; Brandon Lewis\,
OpenSystems Media | |
table>  \;
CATEGORIES:Education
UID:20170711T1919100Z-511394-1461@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170228T160000
DTEND;TZID=America/Sao_Paulo:20170228T170000
SUMMARY:What it Takes to Build an Effective IoT Ecosystem
DESCRIPTION: Why this wecast is important In the world of IoT there’s no ‘
one stop shop’ for every product and service you’ll require. Each part of
your solution has unique characteristics and your solution is dependent on
all them working together. Add the various standards and protocols around
language and communication modes and the complexity becomes even greater.
Fortunately there’s a solution but it requires the ability to organize an
d manage a cohesive set of ecosystem partners. Each partner needs to be a
specialist in their area of expertise and have the ability to work with ot
her complementary technology and service providers in a seamless manner.
In this webinar\, IoT expert and industry veteran\, Paul Pickering will
review the key areas of an IoT deployment and touch on the associated sta
ndards\, many of which are competing or emerging. Paul will also discuss t
he qualities you should consider in a potential ecosystem partner\, the pr
os and cons of managing it yourself of using a lead partner to oversee the
project and tips for getting started. Come prepared with your questions a
nd Paul will address as many as possible during the session. REGISTER NO
W
X-ALT-DESC;FMTTYPE=text/html:  \; | Why this wecas
t is important In
the world of IoT there&rsquo\;s no &lsquo\;one stop shop&rsquo\; for every
product and service you&rsquo\;ll require. Each part of your solution has
unique characteristics and your solution is dependent on all them working
together. Add the various standards and protocols around language and com
munication modes and the complexity becomes even greater. Fortunately ther
e&rsquo\;s a solution but it requires the ability to organize and manage a
cohesive set of ecosystem partners. Each partner needs to be a specialist
in their area of expertise and have the ability to work with other comple
mentary technology and service providers in a seamless  \; manner.
p>  \; In this webinar\, IoT expert and industry veteran\,
Paul Pickering will review the key areas of an IoT deployment and touch o
n the associated standards\, many of which are competing or emerging. Paul
will also discuss the qualities you should consider in a potential ecosys
tem partner\, the pros and cons of managing it yourself of using a lead pa
rtner to oversee the project and tips for getting started. Come prepared w
ith your questions and Paul will address as many as possible during the se
ssion.  \; REGISTER NOW | |  \; |  \;
CATEGORIES:Education
UID:20170220T1253540Z-511394-1222@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170727T150000
DTEND;TZID=America/Sao_Paulo:20170727T160000
SUMMARY:Challenges of Engineering for Space\; From Science Fiction to Scien
ce Fact
DESCRIPTION:Military and Aeronautic design requires development and manufac
ture of ultra-precision parts with critical specs\, high performance param
eters – and zero margins for error. Those challenges become increasingly c
omplex when designs are meant for space. Space Engineers are continually d
eveloping new materials and methods of structural design\, as well as bett
er ways to put things together. In this webinar\, Design News explores how
components\, encoders\, motors\, etc. adapt for use on satellites\, NASA
projects\, and even the International Space Station. Attend this webinar a
nd you will learn: What the challenges of designing for space are
What solutions are emerging to deal with those challenges Different ma
terial science being explored for space engineering Re-usability for s
ustainable space exploration and travel Propulsion\, and how to make s
pace things faster Register Now!
X-ALT-DESC;FMTTYPE=text/html:Military and Aeronautic design requires dev
elopment and manufacture of ultra-precision parts with critical specs\, hi
gh performance parameters &ndash\; and zero margins for error. Those chall
enges become increasingly complex when designs are meant for space. Space
Engineers are continually developing new materials and methods of structur
al design\, as well as better ways to put things together. In this
webinar\, Design News explores how components\, encoders\, motors\, etc.
adapt for use on satellites\, NASA projects\, and even the International S
pace Station. Attend th
is webinar and you will learn:  \; |  \; | What the challen
ges of designing for space are |  \; | &nb
sp\; | What solutions are emerging to deal with those challenges |
 \;
td> |  \; | Different material science being explored for space eng
ineering |  \; |  \; | Re-usability for sustainable spac
e exploration and travel |  \; |  \; | Propulsion\, and
how to make space things faster |
tr>  \;
CATEGORIES:Education
UID:20170724T1803110Z-511394-1483@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170808T150000
DTEND;TZID=America/Sao_Paulo:20170808T160000
SUMMARY:3D Printing: Coming of Age and Transforming the Value Chain
DESCRIPTION:Summary 3D printing has been driving true change across industr
ies by improving product innovation and time to market\, streamlining trad
itional manufacturing processes and reducing costs. While 3D printing tech
nology plays a strategic role in many of the world’s leading manufacturers
\, companies are now taking the next step into Industry 4.0 - aligning add
itive manufacturing with the factory floor. This transformation is real\,
with analysts estimating an economic impact of $230 - $550 billion per yea
r by 2025. In this webinar attendees will discover how 3D printing is be
ing used to: Provide new opportunities for growth and leadership Transform
business models by creating unprecedented operational efficiency Accelera
te time-to-market Empower organizations to be more competitive Solidify ma
rket leadership in an evolving business environment REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:Summary 3D printing ha
s been driving true change across industries by improving product innovati
on and time to market\, streamlining traditional manufacturing processes a
nd reducing costs. While 3D printing technology plays a strategic role in
many of the world&rsquo\;s leading manufacturers\, companies are now takin
g the next step into Industry 4.0 - aligning additive manufacturing with t
he factory floor. This transformation is real\, with analysts estimating a
n economic impact of $230 - $550 billion per year by 2025.  \;
In this webinar attendees will discover how 3D printing is being
used to: - Provide new opportunities for growth and leadershi
p
- Transform business models by creating unprecedented operationa
l efficiency
- Accelerate time-to-market
- Empower organiz
ations to be more competitive
- Solidify market leadership in an e
volving business environment
REGISTER NOW
CATEGORIES:Education
UID:20170724T1805260Z-511394-1484@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170227T160000
DTEND;TZID=America/Sao_Paulo:20170227T170000
SUMMARY:12 Things to Consider When Choosing an Oscilloscope
DESCRIPTION: Why this webcast is important Join Wilson Lee\, Technical Mar
keting Manager at Tektronix\, as he walks through the most important crite
ria for choosing your next oscilloscope. Learn about: The “5 times rule” t
o avoid Bandwidth Measurement Errors The benefits of Versatile Advanced Tr
iggering and Decoding The power of Automated Waveform measurements REGISTE
R NOW
X-ALT-DESC;FMTTYPE=text/html: \; Why this webcast is important<
/strong> Join Wilson Lee\, Technical Marketing Manager at T
ektronix\, as he walks through the most important criteria for choosing yo
ur next oscilloscope. Learn about: - The &ldquo\;5 times rule
&rdquo\; to avoid Bandwidth Measurement Errors
- The benefits of V
ersatile Advanced Triggering and Decoding
- The power of Automated
Waveform measurements
REGISTER NO
W
CATEGORIES:Education
UID:20170220T1302550Z-511394-1225@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170302T140000
DTEND;TZID=America/Sao_Paulo:20170302T150000
SUMMARY:Applying Your Test Plan to the ELMS based on NTCIP 1213 Std v3
DESCRIPTION:Free Registration Link: https://attendee.gototraining.com/r/801
640014412306945 Description This module assists user agencies in creatin
g and applying a test plan specific to their electrical and lighting manag
ement system needs based on the NTCIP1213 v03 Standard. Prior to developin
g such a test plan\, the user is expected to be knowledgeable of the NTCIP
1213 v03 Standard and testing methodologies. This module will cover mater
ial related to elements of the NTCIP 1213 v03 standard required to apply t
est plans to verify that an agency’s product or system meets design specif
ications and other conformance requirements of the NTCIP 1213 Standard v03
\, while following standard testing methodologies\, including test procedu
res. (NTCIP 1203 v03 does not contain test procedures). Target Audience:
Engineering staff Operations and maintenance staff Traffic management sta
ff Testing staff (testing personnel and systems integrators\, with special
ized capabilities) Public and private sector staff Product and system deve
lopers Instructor: Jim Frazer
X-ALT-DESC;FMTTYPE=text/html:Free Registrat
ion Link: https://attendee.gototraining.com/r/801640014412306945
p>  \; Description This module assists user agencies in creating and applying a test plan s
pecific to their electrical and lighting management system needs based on
the NTCIP1213 v03 Standard. Prior to developing such a test plan\, the use
r is expected to be knowledgeable of the NTCIP 1213 v03 Standard and testi
ng methodologies. This module will cover material related to elements of t
he NTCIP 1213 v03 standard required to \;apply \;test plans to ver
ify that an agency&rsquo\;s product or system meets design specifications
and other conformance requirements of the NTCIP 1213 Standard v03\, while
following standard testing methodologies\, including test procedures. (NTC
IP 1203 v03 does not contain test procedures).  \; Target Audience: - En
gineering staff
- Operations and maintenance staff
- Traff
ic management staff
- Testing staff (testing personnel and systems
integrators\, with specialized capabilities)
- Public and private
sector staff
- Product and system developers
Instructor: \;Jim Frazer
CATEGORIES:Education
UID:20170220T1259150Z-511394-1224@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171107T170000
DTEND;TZID=America/Sao_Paulo:20171107T180000
SUMMARY:Certifying COTS Hardware & Software for Modern Avionics
DESCRIPTION: Certification standards bodies on both sides of the Atlan
tic are becoming more open to certifying commercial-off-the-shelf (COTS) h
ardware and software as designers of these systems show evidence Design As
surance Level (DAL) A in their solutions. These developments are enabled b
y standards such as FACE\, OpenGL\, POSIX\, and ARINC 653 which together e
nable not only certification to DO-178 C DAL A for example but also drive
commonality and re-use across multiple military and commercial avionics pl
atforms\, resulting in reduced integration cost and development time. This
e-cast of will discuss these benefits of certifying COTS technology as we
ll as the challenges that remain. Sponsors: Curtiss-Wright\, Mercury Syste
ms\, National Instruments\, Verocel Moderator: John McHale\, OpenSystems
Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \;  \; | |
tr>
Certification standards bodies on
both sides of the Atlantic are becoming more open to certifying commercia
l-off-the-shelf (COTS) hardware and software as designers of these systems
show evidence Design Assurance Level (DAL) A in their solutions. These de
velopments are enabled by standards such as FACE\, OpenGL\, POSIX\, and AR
INC 653 which together enable not only certification to DO-178 C DAL A for
example but also drive commonality and re-use across multiple military an
d commercial avionics platforms\, resulting in reduced integration cost an
d development time. This e-cast of will discuss these benefits of certifyi
ng COTS technology as well as the challenges that remain. | Sponsors: Curtiss-Wright\, Mercu
ry Systems\, National Instruments\, Verocel Moderator: \; John McHale\, OpenSystems Medi
a | <
a style='color: #fff\; text-decoration: none\;' href='http://email.opensys
temsmedia.com/yFnIzy4F0y05NYd08l00020' target='_blank'>REGISTER NOW | |  \
;
CATEGORIES:Education
UID:20170724T1759480Z-511394-1482@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170414T160000
DTEND;TZID=America/Sao_Paulo:20170414T170000
SUMMARY:Embedded System Design Techniques™ - From Bare-metal to Real-Time O
perating Systems - Day 5
DESCRIPTION:April 14 – Day 5: RTOS Best Practices Developing an RTOS based
application is not trivial. Improper use of various resources and RTOS too
ls can result in memory fragmentation\, synchronization issues\, out-of-me
mory warnings\, stack overflow and all kinds of really cool issues. In thi
s session\, Jacob discusses general RTOS best practices...
X-ALT-DESC;FMTTYPE=text/html: Developing a
n RTOS based application is not trivial. Improper use of various resources
and RTOS tools can result in memory fragmentation\, synchronization issue
s\, out-of-memory warnings\, stack overflow and all kinds of really cool i
ssues. In this session\, Jacob discusses general RTOS best practices...
CATEGORIES:Education
UID:20170406T1611040Z-511394-1324@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170427T150000
DTEND;TZID=America/Sao_Paulo:20170427T160000
SUMMARY:5G Beams and Dreams: From FD-MIMO to Massive MIMO
DESCRIPTION:What is the webcast about: Join Keysight’s webcast to get a fee
l for the pulse of the 5G MIMO marketplace and learn solutions for difficu
lt MIMO questions. What is the difference between beamsteering and beamfor
ming? What is Full Dimension MIMO\, and how is it different from regular M
IMO? Will Massive MIMO be needed at millimeter-wave frequencies? Will 5G M
IMO mean I need a new phone? How will MIMO improve quality of service for
end-users? Who should attend: Advanced development researchers\, design en
gineers\, validation engineers\, test engineers\, architects\, project man
agers\, program managers\, application managers\, and application engineer
s. Register
X-ALT-DESC;FMTTYPE=text/html:What is the webcast about:<
/strong> Join Keysight&rsquo\;s webcast to get a feel for the pulse
of the 5G MIMO marketplace and learn solutions for difficult MIMO question
s. What is the difference between beamsteering and beamforming? What is Fu
ll Dimension MIMO\, and how is it different from regular MIMO? Will Massiv
e MIMO be needed at millimeter-wave frequencies? Will 5G MIMO mean I need
a new phone? How will MIMO improve quality of service for end-users?
Who should attend: Advanced development res
earchers\, design engineers\, validation engineers\, test engineers\, arch
itects\, project managers\, program managers\, application managers\, and
application engineers.  \; <
p>Register
CATEGORIES:Education
UID:20170406T1553000Z-511394-1316@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170410T160000
DTEND;TZID=America/Sao_Paulo:20170410T170000
SUMMARY:Embedded System Design Techniques™ - From Bare-metal to Real-Time O
perating Systems - Day 1
DESCRIPTION:April 10 – Day 1: Reviewing Bare-metal Scheduling Techniques Ba
re-metal scheduling techniques can go a long way in ensuring real-time beh
avior. In this session\, Jacob will review techniques such as round robin\
, round robin with interrupts and then walk attendees through how to devel
op their own bare-metal scheduler. Attendees will walk away with an unders
tanding for how far bare-metal can go and when an RTOS might be the best s
cheduling solution.
X-ALT-DESC;FMTTYPE=text/html: Bare-metal scheduling techniques can go
a long way in ensuring real-time behavior. In this session\, Jacob will re
view techniques such as round robin\, round robin with interrupts and then
walk attendees through how to develop their own bare-metal scheduler. Att
endees will walk away with an understanding for how far bare-metal can go
and when an RTOS might be the best scheduling solution.
CATEGORIES:Education
UID:20170406T1608070Z-511394-1320@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170420T160000
DTEND;TZID=America/Sao_Paulo:20170420T170000
SUMMARY:Is Your Data Acquisition System Prepared for Big Data?
DESCRIPTION:Data acquisition systems are producing more analog data than ev
er before. This opportunity to uncover new insights comes at the risk of s
pending more time searching for and analyzing multiple data sets. Without
proper data management\, it is easy for important results to go unnoticed\
, causing repeated tests due to lost or undocumented data. In this Webinar
\, learn how proper metadata documentation\, custom triggering\, and imple
menting the right analysis technique help to save only the data you need t
o make informed decisions. For more information and to register\, click
here.
X-ALT-DESC;FMTTYPE=text/html:Data acquisition systems are producing more
analog data than ever before. This opportunity to uncover new insights co
mes at the risk of spending more time searching for and analyzing multiple
data sets. Without proper data management\, it is easy for important resu
lts to go unnoticed\, causing repeated tests due to lost or undocumented d
ata.
In this Webinar\, learn how proper metadata documentatio
n\, custom triggering\, and implementing the right analysis technique help
to save only the data you need to make informed decisions.  \
; For more information and to register\, click here.
CATEGORIES:Education
UID:20170406T1559140Z-511394-1319@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170412T160000
DTEND;TZID=America/Sao_Paulo:20170412T170000
SUMMARY:Embedded System Design Techniques™ - From Bare-metal to Real-Time O
perating Systems - Day 3
DESCRIPTION:April 12 – Day 3: Real-Time Operating System Concepts Real-time
operating systems are just about tasks. Developers need to understand how
to synchronize task execution using semaphores\, mutexes and event flags.
Communication between different tasks requires message queues. These obje
cts require special consideration especially since improper use can result
in issues such as dead-lock or priority inversion. Attendees will walk aw
ay with the knowledge of how to properly synchronize tasks and manage RTOS
memory.
X-ALT-DESC;FMTTYPE=text/html: Real-time operating systems are just about tasks. Deve
lopers need to understand how to synchronize task execution using semaphor
es\, mutexes and event flags. Communication between different tasks requir
es message queues. These objects require special consideration especially
since improper use can result in issues such as dead-lock or priority inve
rsion. Attendees will walk away with the knowledge of how to properly sync
hronize tasks and manage RTOS memory.
CATEGORIES:Education
UID:20170406T1608590Z-511394-1322@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170419T160000
DTEND;TZID=America/Sao_Paulo:20170419T170000
SUMMARY:Managing Misalignment in Linear Motion Systems
DESCRIPTION:Misalignment represents one of the leading causes of premature
linear bearing wear and failure. Linear bearings that should last for year
s based on expected life calculations can quit after a just few months if
they are not aligned to the geometric tolerances they require to run smoot
hly. Shortening the working life of linear bearings\, misalignment can be
a significant cost driver for both the machine builder and owner. Machine
builders suffer from higher warranty costs when bearings fail prematurely—
not to mention the less tangible cost of a damaged reputation for quality.
Machine owners\, meanwhile\, must contend not just with the cost of buyin
g and installing new bearings\, but also any downtime costs. In this Webin
ar\, learn how builders and owners are overcoming misalignment challenges.
For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Misalignment represents one of the leading
causes of premature linear bearing wear and failure.
Linear b
earings that should last for years based on expected life calculations can
quit after a just few months if they are not aligned to the geometric tol
erances they require to run smoothly. Shortening the working life of linea
r bearings\, misalignment can be a significant cost driver for both the ma
chine builder and owner.
Machine builders suffer from higher
warranty costs when bearings fail prematurely&mdash\;not to mention the le
ss tangible cost of a damaged reputation for quality. Machine owners\, mea
nwhile\, must contend not just with the cost of buying and installing new
bearings\, but also any downtime costs.
In this Webinar\, lea
rn how builders and owners are overcoming misalignment challenges. For more information and to register\, click here.
CATEGORIES:Education
UID:20170406T1557500Z-511394-1318@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170411T160000
DTEND;TZID=America/Sao_Paulo:20170411T170000
SUMMARY:Embedded System Design Techniques™ - From Bare-metal to Real-Time O
perating Systems - Day 2
DESCRIPTION:April 11 – Day 2: Getting Started using Real-Time Operating Sys
tems RTOSes provide developers with the ability to create semi-independent
programs that run concurrently on the microcontroller. Properly creating
tasks and setting their priorities can often be challenging. In this sessi
on\, Jacob will walk attendees through how to setup a real-time operating
system\, create tasks and properly select their priorities. Attendees will
walk away with an improved knowledge on how to use an RTOS and schedule t
asks using rate monotonic scheduling.
X-ALT-DESC;FMTTYPE=text/html: RTOSes provide developers
with the ability to create semi-independent programs that run concurrently
on the microcontroller. Properly creating tasks and setting their priorit
ies can often be challenging. In this session\, Jacob will walk attendees
through how to setup a real-time operating system\, create tasks and prope
rly select their priorities. Attendees will walk away with an improved kno
wledge on how to use an RTOS and schedule tasks using rate monotonic sched
uling.
CATEGORIES:Education
UID:20170406T1607560Z-511394-1321@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170413T160000
DTEND;TZID=America/Sao_Paulo:20170413T170000
SUMMARY:Embedded System Design Techniques™ - From Bare-metal to Real-Time O
perating Systems - Day 4
DESCRIPTION:April 13 – Day 4: Debugging Real-Time Embedded Systems There is
no such thing as a bug-free embedded system. Developers using RTOSes can
be especially susceptible to really nasty bugs. In this session\, Jacob wa
lks developers through techniques to find application issues such as prior
ity inversions\, thread starvation\, dead-lock and stack overflow. Attende
es will walk away with the tools necessary to prevent bugs in their system
and quickly discover the ones that find their way into the system.
X-ALT-DESC;FMTTYPE=text/html: There is no such thing as a bug-free embedded system
. Developers using RTOSes can be especially susceptible to really nasty bu
gs. In this session\, Jacob walks developers through techniques to find ap
plication issues such as priority inversions\, thread starvation\, dead-lo
ck and stack overflow. Attendees will walk away with the tools necessary t
o prevent bugs in their system and quickly discover the ones that find the
ir way into the system.
CATEGORIES:Education
UID:20170406T1610000Z-511394-1323@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170412T140000
DTEND;TZID=America/Sao_Paulo:20170412T150000
SUMMARY:Maximize your system's potential w/ST's new MEMS-based environmenta
l sensor
DESCRIPTION:Learn tips and tricks to improve your product designs with pres
sure\, humidity\, and temperature sensors. Join us for a one-hour webinar
to discover the benefits of MEMS technology in developing innovative env
ironmental sensors\, understand the most important mechanical and electric
al specifications of these sensors\, and explore how these sensors can be
used to develop new applications in the consumer\, medical\, industrial\,
and IoT markets. Meet our technical team to answer your product- and appli
cation-related questions directly online. Join us April 12th at 12 noon CD
T for a 1-hour webinar on environmental sensors Click HERE TO REGISTER for
our webinar NOW. You will learn about: Benefits of MEMS Technology How ME
MS-based environmental sensors work Characterization and qualification of
pressure and humidity sensors Overcoming integration challenges of environ
ment sensors in your system Potential applications for environmental senso
rs ST's environmental sensor application engineers will be available to an
swer your questions directly online.
X-ALT-DESC;FMTTYPE=text/html: Learn tips and tricks to improve your product de
signs with pressure\, humidity\, and temperature sensors. \;<
/h2>
Join us for a one-hour webinar to discover the benefits of \;
MEMS technology in developing innovative environmental sensors\, understa
nd the most important mechanical and electrical specifications of these se
nsors\, and explore how these sensors can be used to develop new applicati
ons in the consumer\, medical\, industrial\, and IoT markets. Meet
our technical team to answer your product- and application-related questi
ons directly online. Join us
April 12th at 12 noon CDT for a 1-hour webinar on environmenta
l sensors Click \;HERE TO REGISTER \;for our webinar NO
W. You will learn about: - Benefits
of MEMS Technology
- How MEMS-based environmental sensors work
- Characterization and qualification of pressure and humidity sensor
s
- Overcoming integration challenges of environment sensors in yo
ur system
- Potential applications for environmental sensors
ST's environmental sensor application engineers will be availab
le to answer your questions directly online.
CATEGORIES:General
UID:20170406T1556110Z-511394-1317@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170328T140000
DTEND;TZID=America/Sao_Paulo:20170328T150000
SUMMARY:Enclosure Specification Best Practices for Lower Costs and Greater
Utility
DESCRIPTION:oday’s modular enclosures differ from older\, welded enclosures
in several important ways. Newer enclosures’ modularity provide greater f
lexibility in system design\, mounting options\, and other deployment char
acteristics. Compared to welded enclosures\, modular enclosures provide
a much greater range of dimensions\, multiple mounting options within the
same platform\, more flexibility in system designs\, greater ease of wirin
g due to removable skins and doors\, and high configurability using standa
rd components — all of which can serve to lower overall costs. Sullivan wi
ll discuss the essential functions of enclosures\, and how the two enclosu
re types perform those functions. Additionally\, he will share best pract
ice considerations when specifying an enclosure. Among those consideration
s are the application for which the enclosure will be used\, the environme
nt in which it will reside\, material considerations\, the enclosure’s nee
d to facilitate cable management\, as well as an in-depth look at what you
need to know when considering space\, temperature and internal design req
uirements. You will learn: - The crucial questions to consider when design
ing your enclosure solution - Strategies for achieving lower total cost of
ownership in your enclosure design - How today’s modular enclosures diffe
r from older welded\, unibody “non-modular” enclosures REGISTER
X-ALT-DESC;FMTTYPE=text/html:oday&rsquo\;s modular enclosures differ fro
m older\, welded enclosures in several important ways. Newer enclosures&rs
quo\; modularity provide greater flexibility in system design\, mounting o
ptions\, and other deployment characteristics. \; \; Compared to w
elded enclosures\, modular enclosures provide a much greater range of dime
nsions\, multiple mounting options within the same platform\, more flexibi
lity in system designs\, greater ease of wiring due to removable skins and
doors\, and high configurability using standard components &mdash\; all o
f which can serve to lower overall costs. Sullivan will dis
cuss the essential functions of enclosures\, and how the two enclosure typ
es perform those functions. \; Additionally\, he will share best pract
ice considerations when specifying an enclosure. Among those consideration
s are the application for which the enclosure will be used\, the environme
nt in which it will reside\, material considerations\, the enclosure&rsquo
\;s need to facilitate cable management\, as well as an in-depth look at w
hat you need to know when considering space\, temperature and internal des
ign requirements. You will learn: - The crucial questions
to consider when designing your enclosure solution - Strategies for
achieving lower total cost of ownership in your enclosure design - H
ow today&rsquo\;s modular enclosures differ from older welded\, unibody &l
dquo\;non-modular&rdquo\; enclosures REGISTER
CATEGORIES:Education
UID:20170310T1529470Z-511394-1259@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170808T080000
DTEND;TZID=America/Sao_Paulo:20170808T090000
SUMMARY:Desmistificando Device Tree para processadores NXP® i.MX
DESCRIPTION:O uso do Linux em dispositivos de arquitetura ARM tem aumentado
cada vez mais nos últimos anos. E durante um bom tempo o suporte à plataf
orma ARM no Linux cresceu de forma desorganizada. Isso porque\, na arquite
tura ARM\, a maioria dos periféricos e dispositivos de I/O não se auto-des
crevem para o kernel\, fazendo com que fosse necessário descrever o hardwa
re dentro do código-fonte do Linux\, causando muitos problemas de manutenç
ão\, incluindo código duplicado e a necessidade de modificar o código-font
e em qualquer alteração do hardware do sistema. A solução adotada pela com
unidade para este problema foi o Device Tree\, uma estrutura de dados util
izada para descrever a topologia e a configuração do hardware presente no
sistema. A idéia é simples: o hardware (CPU\, memória\, barramentos e I/O)
é descrito em um arquivo texto com extensão DTS\, que tem a aparência de
um XML ou JSON. Este arquivo é compilado em um arquivo binário de extensão
DTB\, que é passado para o kernel no boot do sistema. Durante o boot\, o
kernel interpreta o arquivo DTB para identificar a topologia de hardware\,
carregar os drivers correspondentes e inicializar o sistema. Através de u
ma abordagem prática realizada em um módulo da Toradex\, este webinar irá
te ensinar como trabalhar com o Device Tree no Linux\, incluindo: Entender
a sintaxe do device tree Compilar e usar o device tree Customizar um devi
ce tree existente para suportar um novo dispositivo de hardware Criar um d
evice tree do zero para uma nova plataforma de hardware Nota: Recomendamos
que todos os participantes façam perguntas durante a sessão de Q&A que ac
ontecerá no final do webinar. REGISTRO
X-ALT-DESC;FMTTYPE=text/html:<
/div> O uso do Linux em dispositivos de arquitetura ARM tem aumentado cada ve
z mais nos ú\;ltimos anos. E durante um bom tempo o suporte à\
; plataforma ARM no Linux cresceu de forma desorganizada. Isso porque\, na
arquitetura ARM\, a maioria dos perifé\;ricos e dispositivos de I/O
nã\;o se auto-descrevem para o kernel\, fazendo com que fosse neces
sá\;rio descrever o hardware dentro do có\;digo-fonte do Linux
\, causando muitos problemas de manutenç\;ã\;o\, incluindo c&o
acute\;digo duplicado e a necessidade de modificar o có\;digo-fonte
em qualquer alteraç\;ã\;o do hardware do sistema.
A so
luç\;ã\;o adotada pela comunidade para este problema foi o Dev
ice Tree\, uma estrutura de dados utilizada para descrever a topologia e a
configuraç\;ã\;o do hardware presente no sistema. A idé
\;ia é\; simples: o hardware (CPU\, memó\;ria\, barramentos e
I/O) é\; descrito em um arquivo texto com extensã\;o DTS\, que
tem a aparê\;ncia de um XML ou JSON. Este arquivo é\; compilad
o em um arquivo biná\;rio de extensã\;o DTB\, que é\; pa
ssado para o kernel no boot do sistema. Durante o boot\, o kernel interpre
ta o arquivo DTB para identificar a topologia de hardware\, carregar os dr
ivers correspondentes e inicializar o sistema. Atravé\;s de uma abordagem prá\;tica r
ealizada em um mó\;dulo da Toradex\, este webinar irá\; te ens
inar como trabalhar com o Device Tree no Linux\, incluindo: <
li>Entender a sintaxe do device tree - Compilar e usar o device tr
ee
- Customizar um device tree existente para suportar um novo dis
positivo de hardware
- Criar um device tree do zero para uma nova
plataforma de hardware
Nota: Recomendamos
que todos os participantes faç\;am perguntas durante a sessã\
;o de Q&\;A que acontecerá\; no final do webinar.  \;
REGISTRO
p>
CATEGORIES:Education
UID:20170711T1907430Z-511394-1459@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170328T160000
DTEND;TZID=America/Sao_Paulo:20170328T170000
SUMMARY:ADAS: Understanding Architectures and Trends Seen in the Evolution
of Driver Assist
DESCRIPTION: An introduction to the systems and solutions for active drive
r assistance systems\, one of the fastest growing segments of the automoti
ve market. The presentation will review the four main applications that
make up a typical ADAS system. We will explain the major functional blocks
of each application and describe the chip-level components of effective s
olutions for that function. We will also look at some of the major trends
shaping the market and how Infineon enables automotive suppliers to addres
s these trends. Time will be available at the end for Q&A and FAQ session
with the audience. Attend this webinar to learn more about: • What is
ADAS in automotive? • Why is it useful? • What are the major applications
that make up ADAS? • Infineon solutions available today for ADAS • Market
trends for the future • What to ask your customer to help understand the p
otential use of Infineon solutions for ADAS? REGISTER
X-ALT-DESC;FMTTYPE=text/html:  \; An introduction to the syst
ems and solutions for active driver assistance systems\, one of the fastes
t growing segments of the automotive market.  \; The p
resentation will review the four main applications that make up a typical
ADAS system. We will explain the major functional blocks of each applicati
on and describe the chip-level components of effective solutions for that
function. We will also look at some of the major trends shaping the market
and how Infineon enables automotive suppliers to address these trends. Ti
me will be available at the end for Q&\;A and FAQ session with the audi
ence.  \; Attend this webinar to learn more about:
 \; &bull\; What is ADAS in automotive? &bul
l\; Why is it useful? &bull\; What are the major applications that m
ake up ADAS? &bull\; Infineon solutions available today for ADAS &bull\; Market trends for the future &bull\; What to ask your cus
tomer to help understand the potential use of Infineon solutions for ADAS?
 \; REGISTER
CATEGORIES:Education
UID:20170310T1531300Z-511394-1260@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161214T130000
DTEND;TZID=America/Sao_Paulo:20161214T140000
SUMMARY:Embedded University - Class 2 - Building Out Your IoT Application
DESCRIPTION:While we can't build your complete system\, we can take you thr
ough some of the key stages that'll put you well on the way toward finishi
ng your design. In Day 2 of this three-day class\, we'll look at the commo
n subsystems with an IoT edge device and what you need to know to connect
to the Fog and the Cloud. We'll also show you what some of the typical hur
dles/stumbling blocks are\, and most importantly\, we'll show you how to n
avigate that slippery slope using an Intel Atom processor as our design ex
ample. Day 2 sponsored by Intel. REGISTER NOW FOR CLASS 2
X-ALT-DESC;FMTTYPE=text/html: While we can't build your complete system\,
we can take you through some of the key stages that'll put you well on th
e way toward finishing your design. In Day 2 of this three-day class\, we'
ll look at the common subsystems with an IoT edge device and what you need
to know to connect to the Fog and the Cloud. We'll also show you what som
e of the typical hurdles/stumbling blocks are\, and most importantly\, we'
ll show you how to navigate that slippery slope using an Intel Atom proces
sor as our design example. Day 2 sponsored by Intel.  \;
REGISTER NOW FO
R CLASS 2
CATEGORIES:Education
UID:20161117T1313560Z-511394-1140@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170725T120000
DTEND;TZID=America/Sao_Paulo:20170725T130000
SUMMARY:Designing Secure IoT Devices Starts with a Secure Boot
DESCRIPTION:In today's Internet of Things (IoT) world of connected devices\
, phishing scams perpetrated by re-purposing end nodes is a real threat. T
herefore\, having a plan for the development\, manufacturing and deploymen
t stages of IoT edge nodes is critical. Don't leave yourself open to the t
hreat of attackers taking over your designs and gaining access to sensitiv
e information. Begin your designs with a secure and trusted boot. Join us
for this webinar to learn how to: Manage the life cycle of an IoT edge nod
e from development to deployment Leverage hardware and software offerings
available with the Kinetis MCU portfolio that can help you protect against
attacks Ease the burden of secure IoT edge node development using new pro
cessors and architectures from ARM Featured Speakers: Donnie Garcia\, Solu
tions Architect for Secure Transactions\, NXP Diya Soubra\, Senior Product
Marketing Manager\, ARM REGISTER
X-ALT-DESC;FMTTYPE=text/html: In today's I
nternet of Things (IoT) world of connected devices\, phishing scams perpet
rated by re-purposing end nodes is a real threat. Therefore\, having a pla
n for the development\, manufacturing and deployment stages of IoT edge no
des is critical. Don't leave yourself open to the threat of attackers taki
ng over your designs and gaining access to sensitive information. Begin yo
ur designs with a secure and trusted boot. Join us for this webinar to learn how to: - Manage the
life cycle of an IoT edge node from development to deployment
- Lev
erage hardware and software offerings available with the Kinetis MCU portf
olio that can help you protect against attacks
- Ease the burden of
secure IoT edge node development using new processors and architectures fr
om ARM
Donnie Garcia\, Solutions
Architect for Secure Transactions\, NXP Diya Soubra<
/strong>\, Senior Product Marketing Manager\, ARM REGISTER
CATEGORIES:Education
UID:20170620T1800070Z-511394-1445@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170629T130000
DTEND;TZID=America/Sao_Paulo:20170629T140000
SUMMARY:NFC Technology: Opening a World of Opportunities in IoT
DESCRIPTION:Summary The Internet of Things is pushing a wave of new applica
tions integrating NFC every day. NFC is a simple and intuitive\, zero powe
r wireless technology. This technology is paving the way to new opportunit
ies: enriching branding and customer engagement\; going beyond industrial
logistics and supply chain\, with smart maintenance assistance\; enhancing
access control\; simplifying payments. This webinar gives an overview of
NFC technology and introduces ST25 innovative solutions for some of the em
erging use cases. REGISTER
X-ALT-DESC;FMTTYPE=text/html: The \;Internet of Things&nbs
p\;is pushing a wave of new applications integrating NFC every day. NFC is
a simple and intuitive\, zero power wireless technology. This technology
is paving the way to new opportunities: enriching branding and customer en
gagement\; going beyond industrial logistics and supply chain\, with smart
maintenance assistance\; enhancing access control\; simplifying payments.
This webinar gives an overview of NFC technology and introduces ST25 inno
vative solutions for some of the emerging use cases.  \;
REGISTER
CATEGORIES:Education
UID:20170620T1804170Z-511394-1446@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170131T140000
DTEND;TZID=America/Sao_Paulo:20170131T150000
SUMMARY:ISO 11135:2014 Changes - Are You Prepared?
DESCRIPTION:ISO 11135:2014 (Sterilization of health-care products - Ethylen
e oxide - Requirements for the development\, validation and routine contro
l of a sterilization process for medical devices) was originally published
in August 2014. As the end of the 3-year transition period approaches\, t
he specifications in the previous edition will no longer apply. This Webin
ar will address the significant changes in the most recent edition\, with
a focus on the additional parameters that are required to be included in t
he routine sterilization process specification\, plus the expanded guidanc
e relating to requalification review. For more information and to register
\, click here.
X-ALT-DESC;FMTTYPE=text/html: ISO 11135:201
4 (Sterilization of health-care products - Ethylene oxide - Requirements f
or the development\, validation and routine control of a sterilization pro
cess for medical devices) was originally published in August 2014. As the
end of the 3-year transition period approaches\, the specifications in the
previous edition will no longer apply.
This Webinar will add
ress the significant changes in the most recent edition\, with a focus on
the additional parameters that are required to be included in the routine
sterilization process specification\, plus the expanded guidance relating
to requalification review.
For more information and to regist
er\, click
here.
CATEGORIES:Education
UID:20170115T1312120Z-511394-1167@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170126T170000
DTEND;TZID=America/Sao_Paulo:20170126T180000
SUMMARY:Monofilaments and Fibers in Medical Applications
DESCRIPTION:Recent trends in cardiovascular device development are driving
the need for new suture technologies\, including soft\, flexible monofilam
ents that are designed for use in cardio and other surgeries and monofilam
ents that are suitable for use in MRI interventional procedures. This Webi
nar will examine two types of medical monofilaments that OEMs can private
label to address these trends. As a soft\, flexible suture\, ePTFE suture
monofilament is widely used for implantable applications in the cardiovasc
ular\, structural heart\, and peripheral medical markets. Because this sut
ure also has an excellent drape and can be positioned into a strong knot\,
is not only critical for these applications\, but preferred by clinicians
. With recent renewed interest in the development of MRI-compatible cathet
ers\, LCP monofilament is an ideally suited material for catheter braiding
reinforcement as a replacement for metal wire braiding such as stainless
steel. The LCP allows the catheter to retain excellent torquability\, push
ability\, and distal-end deflectability. For more information and to regis
ter\, click here.
X-ALT-DESC;FMTTYPE=text/html: Recent trends
in cardiovascular device development are driving the need for new suture
technologies\, including soft\, flexible monofilaments that are designed f
or use in cardio and other surgeries and monofilaments that are suitable f
or use in MRI interventional procedures. This Webinar will examine two typ
es of medical monofilaments that OEMs can private label to address these t
rends.
As a soft\, flexible suture\, ePTFE suture monofilamen
t is widely used for implantable applications in the cardiovascular\, stru
ctural heart\, and peripheral medical markets. Because this suture also ha
s an excellent drape and can be positioned into a strong knot\, is not onl
y critical for these applications\, but preferred by clinicians.
With recent renewed interest in the development of MRI-compatible cath
eters\, LCP monofilament is an ideally suited material for catheter braidi
ng reinforcement as a replacement for metal wire braiding such as stainles
s steel. The LCP allows the catheter to retain excellent torquability\, pu
shability\, and distal-end deflectability.
For more informati
on and to register\, click here.
CATEGORIES:Education
UID:20170115T1334500Z-511394-1172@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170928T120000
DTEND;TZID=America/Sao_Paulo:20170928T130000
SUMMARY:Virtualization Increases Your IoT Critical Infrastructure System’s
Cybersecurity - Class 3
DESCRIPTION:With the connection to the Internet and the connectivity to a p
lethora of devices\, cybersecurity is a big issue. This dovetails with the
need for multiple levels of safety that were discussed in Day 2\, as it p
ertains to the cost of certification in critical infrastructure IoT. While
this may sound like a complex endeavor\, it doesn’t have to be. Attend Da
y 3 of this three-day course\, and we’ll make sure that you’re headed in t
he right direction. We will show you how your IoT applications can be made
secure and updated with critical cybersecurity patches while at the same
time your safe and certified critical infrastructure applications remain u
ntouched. In addition\, we’ll provide a wrap-up of the three days and be s
ure to answer any outstanding questions. REGISTER NOW FOR CLASS 3
X-ALT-DESC;FMTTYPE=text/html: With the conn
ection to the Internet and the connectivity to a plethora of devices\, cyb
ersecurity is a big issue. This dovetails with the need for multiple level
s of safety that were discussed in Day 2\, as it pertains to the cost of c
ertification in critical infrastructure IoT. While this may sound like a c
omplex endeavor\, it doesn&rsquo\;t have to be. Attend Day 3 of this three
-day course\, and we&rsquo\;ll make sure that you&rsquo\;re headed in the
right direction. We will show you how your IoT applications can be made se
cure and updated with critical cybersecurity patches while at the same tim
e your safe and certified critical infrastructure applications remain unto
uched. In addition\, we&rsquo\;ll provide a wrap-up of the three days and
be sure to answer any outstanding questions. REGISTER NOW FOR CLASS 3
span>
CATEGORIES:Education
UID:20170904T1850390Z-511394-1523@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170807T150000
DTEND;TZID=America/Sao_Paulo:20170807T160000
SUMMARY:Arduino BOE Kit and Raspibot Board - Day 1
DESCRIPTION:Per a report published by Gran View Research the next technolog
ical frontier in adaptable robotics is mobile robots. Mobile robots are be
ing applied in areas as agricultural\, medical\, industrial\, space\, and
defense. The hardware technologies used in mobile robots allow full autono
my using electronic sensors\, microcontrollers\, and mobile driver circuit
s. Machine and Deep Learning coding methods enhances full autonomy motion
control of mobile robots through predictive and 3D mapping algorithms. Par
ticipants will explore mobile robotics concepts using an off the shelf mob
ile robot kit and hardware controller. Electronic sensors\, motor driver c
ircuits and software coding techniques for object detection and navigation
control will be investigated in daily hands-on labs. August 7 – Day 1 – M
obile Robot Development Platforms\, Hands-On Lab: Intro Arduino BOE Bot Sh
ield Automation\, Robotics\, and AI (Artificial Intelligence) technologica
l fields are impacting today’s society at an accelerated rate. Mobile Robo
t Development platforms allow educators\, engineers\, and makers the abili
ty to explore these technologies with low overhead and tremendous communit
y support. In this introductory class\, a variety of Mobile Robot Developm
ent platforms will be discussed. The hands-on laboratory session will intr
oduce the Parallax Arduino BOE Shield Bot through a series of coding examp
les and circuit interfacing control of typical LEDs.
X-ALT-DESC;FMTTYPE=text/html: Per a report published by Gran
View Research the next technological frontier in adaptable robotics is mob
ile robots. Mobile robots are being applied in areas as agricultural\, med
ical\, industrial\, space\, and defense. T
he hardware technologies used in mobile robots allow full autonomy using e
lectronic sensors\, microcontrollers\, and mobile driver circuits. Machine
and Deep Learning coding methods enhances full autonomy motion control of
mobile robots through predictive and 3D mapping algorithms.
p> Participants will explore mobile robotics concepts usin
g an off the shelf mobile robot kit and hardware controller. Electronic se
nsors\, motor driver circuits and software coding techniques for object de
tection and navigation control will be investigated in daily hands-on labs
. - Automation\, Robotics\, and
AI (Artificial Intelligence) technological fields are impacting today&rsq
uo\;s society at an accelerated rate. Mobile Robot Development platforms a
llow educators\, engineers\, and makers the ability to explore these techn
ologies with low overhead and tremendous community support. In this introd
uctory class\, a variety of Mobile Robot Development platforms will be dis
cussed. The hands-on laboratory session will introduce the Parallax Arduin
o BOE Shield Bot through a series of coding examples and circuit interfaci
ng control of typical LEDs. \;
CATEGORIES:Education
UID:20170805T1859240Z-511394-1485@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170809T150000
DTEND;TZID=America/Sao_Paulo:20170809T160000
SUMMARY:Arduino BOE Kit and Raspibot Board - Day 3
DESCRIPTION:Per a report published by Gran View Research the next technolog
ical frontier in adaptable robotics is mobile robots. Mobile robots are be
ing applied in areas as agricultural\, medical\, industrial\, space\, and
defense. The hardware technologies used in mobile robots allow full autono
my using electronic sensors\, microcontrollers\, and mobile driver circuit
s. Machine and Deep Learning coding methods enhances full autonomy motion
control of mobile robots through predictive and 3D mapping algorithms. Par
ticipants will explore mobile robotics concepts using an off the shelf mob
ile robot kit and hardware controller. Electronic sensors\, motor driver c
ircuits and software coding techniques for object detection and navigation
control will be investigated in daily hands-on labs. August 9 – Day 3 – A
rduino BOE Shield Bot Basic Navigation Techniques\, Hands-On Lab: BOE Shie
ld Bot ManeuversTo maneuver the BOE Shield bot in its environment requires
basic navigation techniques. Basic movements such as forward\, reverse\,
pivot\, and rotating with coding examples will be discussed in this class
session. The hands-on lab session will show how the BOE Shield Bot can nav
igate using tactile object detection controls.
X-ALT-DESC;FMTTYPE=text/html: Per a report published by Gran
View Research the next technological frontier in adaptable robotics is mob
ile robots. Mobile robots are being applied in areas as agricultural\, med
ical\, industrial\, space\, and defense. T
he hardware technologies used in mobile robots allow full autonomy using e
lectronic sensors\, microcontrollers\, and mobile driver circuits. Machine
and Deep Learning coding methods enhances full autonomy motion control of
mobile robots through predictive and 3D mapping algorithms.
p> Participants will explore mobile robotics concepts usin
g an off the shelf mobile robot kit and hardware controller. Electronic se
nsors\, motor driver circuits and software coding techniques for object de
tection and navigation control will be investigated in daily hands-on labs
.
CATEGORIES:Education
UID:20170805T1905200Z-511394-1487@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170808T150000
DTEND;TZID=America/Sao_Paulo:20170808T160000
SUMMARY:Arduino BOE Kit and Raspibot Board - Day 2
DESCRIPTION:Per a report published by Gran View Research the next technolog
ical frontier in adaptable robotics is mobile robots. Mobile robots are be
ing applied in areas as agricultural\, medical\, industrial\, space\, and
defense. The hardware technologies used in mobile robots allow full autono
my using electronic sensors\, microcontrollers\, and mobile driver circuit
s. Machine and Deep Learning coding methods enhances full autonomy motion
control of mobile robots through predictive and 3D mapping algorithms. Par
ticipants will explore mobile robotics concepts using an off the shelf mob
ile robot kit and hardware controller. Electronic sensors\, motor driver c
ircuits and software coding techniques for object detection and navigation
control will be investigated in daily hands-on labs. August 8 – Day 2 – B
asics of Arduino Coding\, Hands-On Lab: Testing the Arduino BOE Shield Bot
Servo MotorsThe Arduino BOE shield is the brain for Parallax’s mobile rob
ot platform development kit. Controlling the BOE bot’s motion requires ele
ctronic motor driver circuits operating a pair of servo motors. In this se
ssion\, a review of the BOE bot shield motor driver circuits and basic cod
ing techniques using math\, conditional and relational instructions will b
e presented. The Hands-on lab will consist of coding driver code and testi
ng the BOE Shield Bot’s servo motors.
X-ALT-DESC;FMTTYPE=text/html: Per a report published by Gran
View Research the next technological frontier in adaptable robotics is mob
ile robots. Mobile robots are being applied in areas as agricultural\, med
ical\, industrial\, space\, and defense. T
he hardware technologies used in mobile robots allow full autonomy using e
lectronic sensors\, microcontrollers\, and mobile driver circuits. Machine
and Deep Learning coding methods enhances full autonomy motion control of
mobile robots through predictive and 3D mapping algorithms.
p> Participants will explore mobile robotics concepts usin
g an off the shelf mobile robot kit and hardware controller. Electronic se
nsors\, motor driver circuits and software coding techniques for object de
tection and navigation control will be investigated in daily hands-on labs
. - August 8 &ndash\; Day 2 &ndash\; Basics of Ardu
ino Coding\, Hands-On Lab: Testing the Arduino BOE Shield Bot Servo Motors
The Arduino BOE shield is the brain
for Parallax&rsquo\;s mobile robot platform development kit. Controlling
the BOE bot&rsquo\;s motion requires electronic motor driver circuits oper
ating a pair of servo motors. In this session\, a review of the BOE bot sh
ield motor driver circuits and basic coding techniques using math\, condit
ional and relational instructions will be presented. The Hands-on lab will
consist of coding driver code and testing the BOE Shield Bot&rsquo\;s ser
vo motors. \;
CATEGORIES:Education
UID:20170805T1902240Z-511394-1486@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170810T150000
DTEND;TZID=America/Sao_Paulo:20170810T160000
SUMMARY:Arduino BOE Kit and Raspibot Board - Day 4
DESCRIPTION:Per a report published by Gran View Research the next technolog
ical frontier in adaptable robotics is mobile robots. Mobile robots are be
ing applied in areas as agricultural\, medical\, industrial\, space\, and
defense. The hardware technologies used in mobile robots allow full autono
my using electronic sensors\, microcontrollers\, and mobile driver circuit
s. Machine and Deep Learning coding methods enhances full autonomy motion
control of mobile robots through predictive and 3D mapping algorithms. Par
ticipants will explore mobile robotics concepts using an off the shelf mob
ile robot kit and hardware controller. Electronic sensors\, motor driver c
ircuits and software coding techniques for object detection and navigation
control will be investigated in daily hands-on labs. August 10 – Day 4 –
Board Introduction: Hands-On Lab: Python and RaspiRobot Board Basics The
RaspiRobot board is a Raspberry Pi hat designed for mobile robotics and v
isual display projects. The RaspiRobot board was designed by well-known Ar
duino and Raspberry Pi maker Simon Monk. In this session\, the RaspiRobot
board’s architecture and setup of the Python library will be explained. Th
e hands-on lab will present basic Python motor control commands to operate
onboard LEDs and an external dc motor.
X-ALT-DESC;FMTTYPE=text/html: Per a report published by Gran
View Research the next technological frontier in adaptable robotics is mob
ile robots. Mobile robots are being applied in areas as agricultural\, med
ical\, industrial\, space\, and defense. T
he hardware technologies used in mobile robots allow full autonomy using e
lectronic sensors\, microcontrollers\, and mobile driver circuits. Machine
and Deep Learning coding methods enhances full autonomy motion control of
mobile robots through predictive and 3D mapping algorithms.
p> Participants will explore mobile robotics concepts usin
g an off the shelf mobile robot kit and hardware controller. Electronic se
nsors\, motor driver circuits and software coding techniques for object de
tection and navigation control will be investigated in daily hands-on labs
. - August 10 &ndash\; Day 4 &ndash\; Board Introduction: Hand
s-On Lab: Python and RaspiRobot Board Basics
 \; The RaspiRobot board is a Raspberry Pi hat de
signed for mobile robotics and visual display projects. The RaspiRobot boa
rd was designed by well-known Arduino and Raspberry Pi maker Simon Monk. I
n this session\, the RaspiRobot board&rsquo\;s architecture and setup of t
he Python library will be explained. The hands-on lab will present basic P
ython motor control commands to operate onboard LEDs and an external dc mo
tor. \;
CATEGORIES:Education
UID:20170805T1907270Z-511394-1488@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170817T170000
DTEND;TZID=America/Sao_Paulo:20170817T180000
SUMMARY:Automate Your Business Processes
DESCRIPTION:Get Built with ThingWorx 8: Summer Webcast Series Learn more ab
out what sets ThingWorx 8 apart from other industrial IoT platforms in our
summer webcast series. Register now to take a deeper dive into the unpara
lleled functionality\, flexibility\, and agility of ThingWorx 8. This seri
es of webinars is intended for current and prospective ThingWorx partners
and customers who want to quickly build and deploy new apps and augmented
reality experiences. Session Four | Orchestrate: Automate Your Business Pr
ocesses The ThingWorx platform leverages sourced data and derived insights
to help organizations automate their business processes. The core capabil
ities provided within ThingWorx give developers an efficient way to quickl
y and easily build applications. In this webcast you will learn how to: Au
tomate a reordering process: Save valuable time by reordering materials as
part of your production process. Use the codeless Workflow builder: See h
ow you can quickly and easy direct actions and flows within your process
REGISTER
X-ALT-DESC;FMTTYPE=text/html: Get Built with ThingWorx 8: Summer Webcast
Series Learn more about what set
s ThingWorx 8 apart from other industrial IoT platforms in our summer webc
ast series. Register now to take a deeper dive into the unparalleled funct
ionality\, flexibility\, and agility of ThingWorx 8. This series of webina
rs is intended for current and prospective ThingWorx partners and customer
s who want to quickly build and deploy new apps and augmented reality expe
riences. Session Four | Orchestrate: Automate You
r Business Processes The ThingWorx platform leverages sourced data
and derived insights to help organizations automate their business proces
ses. The core capabilities provided within ThingWorx give developers an ef
ficient way to quickly and easily build applications. In this webc
ast you will learn how to: - Automate a reordering process: S
ave valuable time by reordering materials as part of your production proce
ss.
- Use the codeless Workflow builder: See how you can quickly a
nd easy direct actions and flows within your process
| <
/tr> |  \; | |  \; | &
nbsp\; |  \;REGISTER |  \;
CATEGORIES:Education
UID:20170805T2004450Z-511394-1495@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170811T150000
DTEND;TZID=America/Sao_Paulo:20170811T160000
SUMMARY:Arduino BOE Kit and Raspibot Board - Day 5
DESCRIPTION:Per a report published by Gran View Research the next technolog
ical frontier in adaptable robotics is mobile robots. Mobile robots are be
ing applied in areas as agricultural\, medical\, industrial\, space\, and
defense. The hardware technologies used in mobile robots allow full autono
my using electronic sensors\, microcontrollers\, and mobile driver circuit
s. Machine and Deep Learning coding methods enhances full autonomy motion
control of mobile robots through predictive and 3D mapping algorithms. Par
ticipants will explore mobile robotics concepts using an off the shelf mob
ile robot kit and hardware controller. Electronic sensors\, motor driver c
ircuits and software coding techniques for object detection and navigation
control will be investigated in daily hands-on labs. August 11 – Day 5 –
RaspiRobot Board Motor Controls\, Lab: Exploring Motor Controls with Raspi
Robot Board A continuation of day 4 session\, additional Python commands
for the RaspiRobot Board and controlling two dc motors along with speed c
ontrol will be discussed. The hands-on lab will focus on testing these mot
or and speed control commands using Python with RaspiRobot Board.
X-ALT-DESC;FMTTYPE=text/html: Per a report published by Gran
View Research the next technological frontier in adaptable robotics is mob
ile robots. Mobile robots are being applied in areas as agricultural\, med
ical\, industrial\, space\, and defense. T
he hardware technologies used in mobile robots allow full autonomy using e
lectronic sensors\, microcontrollers\, and mobile driver circuits. Machine
and Deep Learning coding methods enhances full autonomy motion control of
mobile robots through predictive and 3D mapping algorithms.
p> Participants will explore mobile robotics concepts usin
g an off the shelf mobile robot kit and hardware controller. Electronic se
nsors\, motor driver circuits and software coding techniques for object de
tection and navigation control will be investigated in daily hands-on labs
.
CATEGORIES:Education
UID:20170805T1909200Z-511394-1489@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170405T150000
DTEND;TZID=America/Sao_Paulo:20170405T160000
SUMMARY:PCIe 4.0 Physical Layer Transmitter and Receiver Testing
DESCRIPTION: Why this webcast is important This webcast will provide a hig
h level overview of some of the major macro trends facing the computer ind
ustry and how those trends are impacting the work of high speed digital de
sign engineers with specific emphasis on PCI Express v 4.0 technology. Wit
h demand on networking and computer performance increasing at a rapid pace
\, there is a growing need to store more data\, move more data\, and to do
more processing in real time than ever before. As data speeds increase\,
channel attenuation becomes a significantly greater inhibitor to moving da
ta across an interface bus. This session will highlight some of Keysights
contributions with PCIe 4.0 technology for physical layer transmitter and
receiver testing. Some of the topics covered will include improvements to
the PCI Express 3.0 CEM connector to support 16 GT/s signaling and improve
ments to receiver testing methodologies and new tools for testing PCI Expr
ess 4.0 devices. The challenges of achieving higher speed are many and thi
s webcast will highlight some of the work Keysight has been actively engag
ed in with the industry in to help pave the way towards higher bandwidth a
nd throughput in digital systems using PCI Express Gen4 technology. Wh
o should attend Design engineers\, signal integrity engineers\, quality en
gineers\, validation engineers\, test engineers\, architects\, project man
agers\, program managers\, application managers\, and application engineer
s. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; Why this webcast is important This webcast will pro
vide a high level overview of some of the major macro trends facing the co
mputer industry and how those trends are impacting the work of high speed
digital design engineers with specific emphasis on PCI Express v 4.0 techn
ology. With demand on networking and computer performance increasing at a
rapid pace\, there is a growing need to store more data\, move more data\,
and to do more processing in real time than ever before. As data speeds i
ncrease\, channel attenuation becomes a significantly greater inhibitor to
moving data across an interface bus. This session will highlight some of
Keysights contributions with PCIe 4.0 technology for physical layer transm
itter and receiver testing. Some of the topics covered will include improv
ements to the PCI Express 3.0 CEM connector to support 16 GT/s signaling a
nd improvements to receiver testing methodologies and new tools for testin
g PCI Express 4.0 devices. The challenges of achieving higher speed are ma
ny and this webcast will highlight some of the work Keysight has been acti
vely engaged in with the industry in to help pave the way towards higher b
andwidth and throughput in digital systems using PCI Express Gen4 technolo
gy.  \;  \; Who should attend Design engineers\, signal int
egrity engineers\, quality engineers\, validation engineers\, test enginee
rs\, architects\, project managers\, program managers\, application manage
rs\, and application engineers.  \;  \;
REGISTER NOW \;
CATEGORIES:Education
UID:20170328T1752110Z-511394-1297@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170816T120000
DTEND;TZID=America/Sao_Paulo:20170816T130000
SUMMARY:Real-time POWERLINK with Analog Devices REM Switch
DESCRIPTION:Description: Legacy automation systems use different field buse
s for I/O\, motion control and safety devices thereby making integration d
ifferent. POWERLINK is the only technology with open source BSD licensed M
aster\, Slave\, Safe Node stacks and network configuration tools that enab
les deterministic communications of all devices on Ethernet. The programma
ble REM Switch from Analog Devices enables cost effective POWERLINK design
s with any host processor. During this webinar you will learn: - Features
of Ethernet POWERLINK technology - Open source resources available for POW
ERLINK without any obligations of royalties or license fees - Building POW
ERLINK solutions with Analog Devices REM Switch Who should attend: Enginee
rs across functions of Design\, Controls\, Systems\, Applications\, and So
ftware\; Machine Builders and System Integrators\; engineers in the Motion
Control and Automation industries. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Descr
iption: Legacy automation systems use different field buses
for I/O\, motion control and safety devices thereby making integration di
fferent. POWERLINK is the only technology with open source BSD licensed Ma
ster\, Slave\, Safe Node stacks and network configuration tools that enabl
es deterministic communications of all devices on Ethernet. The programmab
le REM Switch from Analog Devices enables cost effective POWERLINK designs
with any host processor. Du
ring this webinar you will learn: - Features of Ethernet POW
ERLINK technology - Open source resources available for POWERLINK wit
hout any obligations of royalties or license fees - Building POWERLIN
K solutions with Analog Devices REM Switch Who should attend: Engineers across function
s of Design\, Controls\, Systems\, Applications\, and Software\; Machine B
uilders and System Integrators\; engineers in the Motion Control and Autom
ation industries. REGISTER
CATEGORIES:Education
UID:20170805T1948210Z-511394-1490@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170822T140000
DTEND;TZID=America/Sao_Paulo:20170822T150000
SUMMARY:IIoT & Process Modernization: 5 Immediate Benefits
DESCRIPTION:Register Today! The fourth industrial revolution is changing
the nature of manufacturing. More volatile markets\, new technology capab
ilities\, and increased pressure on performance are precipitating radical
change. With this change comes opportunity\, especially through the Indust
rial Internet of Things (IIoT)\, which is unleashing huge potential for in
dustrial customers. But how can you exploit this potential while keeping y
our costs under control? In your day-to-day operations\, you are required
to do more with less\, and continue to grow your bottom-line revenues. The
idea of IIoT is great\, but you lack the budget to replace your entire pr
ocess or production facility. How can you start modernizing to gain IIoT b
enefits without exceeding already limited budgets? One quick and simple te
chnological gain can come through the implementation of service-oriented v
ariable-frequency drive technology. VFD's are adjustable-speed drives to c
ontrol AC motor speed and torque by varying motor input frequency and volt
age. By integrating these modern drives to run your systems\, the promise
of IIoT becomes a reality through connectivity and real-time monitoring. J
oin us August 22 to learn how these drives bring the IIoT into an existing
process and immediately begin delivering 5 key benefits to your company:
Increased operational efficiency Reduced operating costs Improved asset ma
nagement Enhanced process control A more empowered workforce
X-ALT-DESC;FMTTYPE=text/html: Register Today!  \; Th
e fourth industrial revolution is changing the nature of manufacturing. &n
bsp\;More volatile markets\, new technology capabilities\, and increased p
ressure on performance are precipitating radical change. With this change
comes opportunity\, especially through the Industrial Internet of Things (
IIoT)\, which is unleashing huge potential for industrial customers. But h
ow can you exploit this potential while keeping your costs under control?<
/p> In your day-to-day operations\, you
are required to do more with less\, and continue to grow your bottom-line
revenues. The idea of IIoT is great\, but you lack the budget to replace y
our entire process or production facility. How can you start modernizing t
o gain IIoT benefits without exceeding already limited budgets? One quick and simple technological gain can co
me through the implementation of service-oriented variable-frequency drive
technology. VFD's are adjustable-speed drives to control AC motor speed a
nd torque by varying motor input frequency and voltage. By integrating the
se modern drives to run your systems\, the promise of IIoT becomes a reali
ty through connectivity and real-time monitoring. Join us August 22 to learn how these drives bring the IIoT i
nto an existing process and immediately begin delivering 5 key benefits to
your company: - Increased oper
ational efficiency
- Reduced operati
ng costs
- Improved asset management
- Enhanced process control
A more empowered workforce
CATEGORIES:Education
UID:20170805T1951230Z-511394-1491@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170823T140000
DTEND;TZID=America/Sao_Paulo:20170823T150000
SUMMARY:Smart Testing to Limit Your Risk Exposure in Wireless Medical Devic
es
DESCRIPTION:The complexity of today's electromagnetic environment means tha
t even well-designed wireless medical devices may encounter challenges tha
t could lead to an FDA Form 483\, a warning letter\, or even patient harm.
This seminar will cover the risks and requirements associated with today'
s wireless medical devices and test techniques to reduce the risk to patie
nts and to medical device manufacturers. By using test equipment including
FieldFox\, you can test with more confidence. Learn more today! REGISTE
R
X-ALT-DESC;FMTTYPE=text/html: The complexit
y of today's electromagnetic environment means that even well-designed wir
eless medical devices may encounter challenges that could lead to an FDA F
orm 483\, a warning letter\, or even patient harm. This seminar will cover
the risks and requirements associated with today's wireless medical devic
es and test techniques to reduce the risk to patients and to medical devic
e manufacturers. By using test equipment including FieldFox\, you can test
with more confidence. Learn more today!  \; REGISTER
CATEGORIES:Education
UID:20170805T1954430Z-511394-1492@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170824T120000
DTEND;TZID=America/Sao_Paulo:20170824T130000
SUMMARY:How to Identify & Eliminate Cost Drivers Early in the Design Proces
s
DESCRIPTION:Description: Engineers and project managers throughout all disc
rete manufacturing industry verticals are becoming more responsible for th
e cost of products they are developing. These teams do not traditionally
have an effective\, consistent way of evaluating cost early in the product
development cycle\, which is when the greatest potential for cost avoidan
ce exists. This webinar will include a detailed examination of the latest
product cost management software technology\, and how it can be used in Ne
w Product Development to solve these common problems: No Visibility to Man
ufacturing Cost Drivers – design & engineering teams do not have tools tha
t provide them with quick visibility to the manufacturing and cost consequ
ences of the decisions they make each and every day. Inability to Efficien
tly Cost Tradeoff Scenarios – engineering teams often have a good idea tha
t if they could switch from one material to another\, or design for an alt
ernative manufacturing process that they could save money and accelerate t
ime to market\; Shorter Product Lifecycles – intense competition for marke
t share is putting price and feature pressure on product development teams
to deliver innovative new products to market faster and more efficiently
than ever before. This webinar will include a short presentation followed
by a detailed product demonstration and will include a review of real-life
customer examples from companies such as Spirit Aerosystems (the world's
largest first-tier aerostructures manufacturer) and Wuzheng (leading agric
ultural equipment provider headquartered in China). Lessons to be learned
from this technology focused program include how you and your project team
can: Identify and Eliminate Cost Drivers Early – aPriori manufacturing si
mulation helps users quickly identify cost drivers and potential manufactu
rability issues during preliminary design phases through quick costing of
your 3D CAD models. Improve Product Value with easy to generate cost/valu
e tradeoffs. No Surprises – Track calculated cost against target cost at t
he component and system level on a week to week\, month to month basis.
Special Bonus: All attendees to the event will be provided with FREE acc
ess to aPriori’s Training website and have the opportunity to work directl
y with the software via a ½ day self-guided training module.* Following t
he webinar program\, attendees will be contacted by an aPriori representat
ive to schedule a convenient date for this trial offer. *aPriori reserves
the right to refuse access to the aPriori training site for any attendees
perceived to be direct competitors of the company. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Descr
iption: Engineers and proje
ct managers throughout all discrete manufacturing industry verticals are b
ecoming more responsible for the cost of products they are developing.&nbs
p\; These teams do not traditionally have an effective\, consistent way of
evaluating cost early in the product development cycle\, which is when th
e greatest potential for cost avoidance exists. This webinar will include a detailed examination of the latest
product cost management software technology\, and how it can be used in N
ew Product Development to solve these common problems: - No Visibility to Manufacturing Cost Driv
ers &ndash\; design &\; engineering teams do not have tools th
at provide them with quick visibility to the manufacturing and cost conseq
uences of the decisions they make each and every day.
- In
ability to Efficiently Cost Tradeoff Scenarios &ndash\; engineeri
ng teams often have a good idea that if they could switch from one materia
l to another\, or design for an alternative manufacturing process that the
y could save money and accelerate time to market\;
- Short
er Product Lifecycles &ndash\; intense competition for market sha
re is putting price and feature pressure on product development teams to d
eliver innovative new products to market faster and more efficiently than
ever before.
This webinar wi
ll include a short presentation followed by a detailed product demonstrati
on and will include a review of real-life customer examples from companies
such as Spirit Aerosystems (the world's largest first-ti
er aerostructures manufacturer) and Wuzheng (leading agri
cultural equipment provider headquartered in China). Lessons to be learned from this technology focused progra
m include how you and your project team can: - Identify and Eliminate Cost Drivers Early
&ndash\; aPriori manufacturing simulation helps users quickly identify co
st drivers and potential manufacturability issues during preliminary desig
n phases through quick costing of your 3D CAD models. \;
- Improve Product Value with easy to generate cost/value trade
offs.
- No Surprises &ndash\; Track calculated co
st against target cost at the component and system level on a week to week
\, month to month basis. \;
 \; Special Bonus: \; All attendees to the event will be provided with FREE
access to aPriori&rsquo\;s Training website and have the opportunity to wo
rk directly with the software via a ½\; day self-guided training mod
ule.* \; Following the webinar program\, attendees will be contacted b
y an aPriori representative to schedule a convenient date for this trial o
ffer. *aPriori reserves the right t
o refuse access to the aPriori training site for any attendees perceived t
o be direct competitors of the company. REGISTER
CATEGORIES:Education
UID:20170805T1958170Z-511394-1493@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170816T130000
DTEND;TZID=America/Sao_Paulo:20170816T140000
SUMMARY:Intelligent Street Lighting: Backbone of the Smart City - Part 2
DESCRIPTION: In this 3-day course we'll learn about what kind of intellige
nt systems are available to control street lighting\, how much efficiency
they can drive\, and how very much more they can contribute to the health
and well-being of the smart cities they will enable. Part 2: Controlli
ng Light Sources Much energy was conserved when street lighting was firs
t put on timers that would turn them on in the evening and off in the morn
ing. Today's intelligent street lighting goes much further\, dimming light
s when streets are empty\, using low-energy-requirement LED lamps and sola
r technology to drive energy consumption even lower. In this session we'll
explore the various control systems\, intelligent street lighting lamps a
nd housings to prepare us to evaluate given city situations and make infor
med decisions as to how to use Smart Cities technologies to drive great ou
tcomes. REGISTER
X-ALT-DESC;FMTTYPE=text/html:  \; In this 3-day course we'll learn about what kind of intelligent systems
are available to control street lighting\, how much efficiency they can dr
ive\, and how very much more they can contribute to the health and well-be
ing of the smart cities they will enable.  \;  \;<
/p> Part 2: Controlling Light Sou
rces  \; Much en
ergy was conserved when street lighting was first put on timers that would
turn them on in the evening and off in the morning. Today's intelligent s
treet lighting goes much further\, dimming lights when streets are empty\,
using low-energy-requirement LED lamps and solar technology to drive ener
gy consumption even lower. In this session we'll explore the various contr
ol systems\, intelligent street lighting lamps and housings to prepare us
to evaluate given city situations and make informed decisions as to how to
use Smart Cities technologies to drive great outcomes.  \;  \;  \;  \; REGISTER
CATEGORIES:Education
UID:20170805T2013040Z-511394-1496@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170809T183000
DTEND;TZID=America/Sao_Paulo:20170809T193000
SUMMARY:FITAS DE LEDS PROFISSIONAIS
DESCRIPTION: Resumo da palestra: Neste Webinar vamos falar da linha de fi
tas de LED OSRAM para aplicações profissionais em uso indoor e outdoor bem
como seus acessórios. Abordaremos de uma forma simples como identificar e
especificar o melhor produto para suas aplicações e também como dimension
ar as quantidades de fitas de LED\, drivers e acessórios necessários para
seus projetos. Agenda do Webinar: Apresentação da linha profissional L
inear LightFlex e seus modelos\; Características técnicas\; Acessórios\; D
imensionamento\; Vantagens em relação a outras fitas do mercado. REGISTRO
X-ALT-DESC;FMTTYPE=text/html:  \;
Resumo
da palestra: \; Neste Webinar vamos falar da linha de
fitas de LED OSRAM para aplicaç\;õ\;es profissionais em uso i
ndoor e outdoor bem como seus acessó\;rios. Abordaremos de uma forma
simples como identificar e especificar o melhor produto para suas aplica&
ccedil\;õ\;es e també\;m como dimensionar as quantidades de fi
tas de LED\, drivers e acessó\;rios necessá\;rios para seus pr
ojetos.  \;  \; Agenda do Webinar
: - Apresentaç\;ã\;o da linha profissional Linear
LightFlex e seus modelos\;
- Caracterí\;sticas té\;cn
icas\;
- Acessó\;rios\;
- Dimensionamento\;
Vantagens em relaç\;ã\;o a outras fitas do mercado.
ul> REGISTRO  \;
CATEGORIES:Education
UID:20170805T2024090Z-511394-1499@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170815T130000
DTEND;TZID=America/Sao_Paulo:20170815T140000
SUMMARY:Intelligent Street Lighting: Backbone of the Smart City - Part 1
DESCRIPTION: In this 3-day course we'll learn about what kind of intellige
nt systems are available to control street lighting\, how much efficiency
they can drive\, and how very much more they can contribute to the health
and well-being of the smart cities they will enable. Part 1: Overvie
w of the Smart City What is a Smart City? What makes it 'smart'? How doe
s that 'smartness' translate into benefits for the citizens\, businesses\,
and government of those cities? In this segment we'll explore several sma
rt cities around the world to see just how much they've accomplished as li
ving examples of smart cities in action. REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; In this 3-day course we'll learn about what kind of intelligent systems
are available to control street lighting\, how much efficiency they can dr
ive\, and how very much more they can contribute to the health and well-be
ing of the smart cities they will enable.  \;  \;<
/p>  \; Part 1: Overv
iew of the Smart City  \; What is a Smart Cit
y? What makes it 'smart'? How does that 'smartness' translate into benefit
s for the citizens\, businesses\, and government of those cities? In this
segment we'll explore several smart cities around the world to see just ho
w much they've accomplished as living examples of smart cities in action.
 \;  \; REGIS
TER
CATEGORIES:Education
UID:20170805T2014490Z-511394-1497@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170817T130000
DTEND;TZID=America/Sao_Paulo:20170817T140000
SUMMARY:Intelligent Street Lighting: Backbone of the Smart City - Part 2
DESCRIPTION: In this 3-day course we'll learn about what kind of intellige
nt systems are available to control street lighting\, how much efficiency
they can drive\, and how very much more they can contribute to the health
and well-being of the smart cities they will enable. Part 3: What's
Next in Smart City Lighting? (hint: Sensors) In this final session\, we
examine the role of intelligent street lighting as the backbone and nervou
s system of a Smart City. What are the attributes that make street lightin
g such a desirable candidate for this important role? What kinds of things
can we use lighting poles to help us accomplish? How are significant valu
es achieved with these technologies? Here's how to turn a simple light pol
e into a silent sentry\, watching over\, connecting\, and helping to contr
ol everything that makes city life better. REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; In this 3-day course we'll learn about what kind of intelligent systems
are available to control street lighting\, how much efficiency they can dr
ive\, and how very much more they can contribute to the health and well-be
ing of the smart cities they will enable.  \;  \;<
/p>  \; Part 3: What'
s Next in Smart City Lighting? (hint: Sensors)  \; In this final session\, we examine the
role of intelligent street lighting as the backbone and nervous system of
a Smart City. What are the attributes that make street lighting such a des
irable candidate for this important role? What kinds of things can we use
lighting poles to help us accomplish? How are significant values achieved
with these technologies? Here's how to turn a simple light pole into a sil
ent sentry\, watching over\, connecting\, and helping to control everythin
g that makes city life better.  \;  \; REGISTER
CATEGORIES:Education
UID:20170805T2016170Z-511394-1498@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201021T120000
DTEND;TZID=America/Sao_Paulo:20201021T130000
SUMMARY:Meeting the Need for Secured Authentication in Wireless Charging
DESCRIPTION: As wireless charging begins to see wider adoption due to it
s convenience\, the need for security becomes even more critical. Designin
g security into wireless devices enables a safe\, reliable and convenient
way to charge electronic devices. It also prevents overheating\, counterfe
its and other safety concerns. The Wireless Power Consortium recently rele
ased version 1.3 of the Qi specifications\, which requires strong cryptogr
aphic authentication for Qi-certified transmitters. The new requirement en
sures that products can prove that they have Qi certification\, which demo
nstrates their compliance with WPC usage and safety standards. During thi
s webcast\, attendees will learn about the rationale behind this requireme
nt\, what is needed\, and best practices on designing security elements in
to wireless devices. REGISTER
X-ALT-DESC;FMTTYPE=text/html: \;  \; As
wireless charging begins to see wider adoption due to its convenience\, t
he need for security becomes even more critical. Designing security into w
ireless devices enables a safe\, reliable and convenient way to charge ele
ctronic devices. It also prevents overheating\, counterfeits and other saf
ety concerns. The Wireless Power Consortium recently rele
ased version 1.3 of the Qi specifications\, which requires strong cryptogr
aphic authentication for Qi-certified transmitters. The new requirement en
sures that products can prove that they have Qi certification\, which demo
nstrates their compliance with WPC usage and safety standards. \; During this webcas
t\, attendees will learn about the rationale behind this requirement\, wha
t is needed\, and best practices on designing security elements into wirel
ess devices.  \; REGISTER
CATEGORIES:Education
UID:20201011T2304360Z-511394-2281@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171024T140000
DTEND;TZID=America/Sao_Paulo:20171024T150000
SUMMARY:What is Measurement Risk?
DESCRIPTION:Do you think your measurement is 'In-Tolerance' if the measured
value is within the manufacturer's accuracy specification? If the calibra
tion provider is not considering the test uncertainty ratio\, chances are
your measurements are not what you think they are and it is very likely th
at you may be making bad measurements. In this webinar you will learn: Tes
t Uncertainty Ration (T.U.R) and what it means in regards to saying whethe
r an instrument passes or fails calibration. The three essential rules to
lessening your measurement risk: knowing the precise requirements\, choosi
ng the right equipment\, and having the right process. For more informat
ion and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Do you think your measurement is 'In-Tolera
nce' if the measured value is within the manufacturer's accuracy specifica
tion? If the calibration provider is not considering the test uncertainty
ratio\, chances are your measurements are not what you think they are and
it is very likely that you may be making bad measurements.
In t
his webinar you will learn: - Test Uncertainty Ration (T.U.R)
and what it means in regards to saying whether an instrument passes or fa
ils calibration.
- The three essential rules to lessening your mea
surement risk: knowing the precise requirements\, choosing the right equip
ment\, and having the right process.
 \; For more info
rmation and to register\, click
here.
CATEGORIES:Education
UID:20171021T1646150Z-511394-1600@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161130T150000
DTEND;TZID=America/Sao_Paulo:20161130T160000
SUMMARY:Infineon's Full System Solution for Programmable Logic Controller (
PLC)
DESCRIPTION:Overview: In this webinar Tobias Bukowski\, Distribution Mark
eting Manager for Power Management and Multimarket at Infineon Technologie
s guides you through Infineon's high-quality semiconductor solutions for P
LCs with focus on the latest industry requirements. PLCs (programmable log
ic controllers) are an integral part of each industrial automation applica
tion – commonly called as the “brain” of a factory\, PLCs control a wide r
ange of functionalities by receiving and processing data coming from senso
rs and machines. Due to their industrial field of application PLCs need to
work under harsh conditions over years. Therefore reliability\, system st
ability and 100% interoperability with connected automation systems are th
e key requirements for PLCs. Attendees will learn: What is a PLC? What are
the requirements of PLCs? Infineon solutions for PLCs Infineon's highligh
t products Digital processor control and power supply System communication
Infineon solutions for digital input and output modules (I/O modules) Sys
tem security and protection System sensors » Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview: <
table border='0' width='400' cellspacing='0' cellpadding='0'>  \; | In this webinar Tobias Bukowski\, Distribution Marketing Manager for P
ower Management and Multimarket at Infineon Technologies guides you throug
h Infineon's high-quality semiconductor solutions for PLCs with focus on t
he latest industry requirements. PLCs (programmable logic controllers)
are an integral part of each industrial automation application &ndash\; c
ommonly called as the &ldquo\;brain&rdquo\; of a factory\, PLCs control a
wide range of functionalities by receiving and processing data coming from
sensors and machines. Due to their industrial field of application PLCs n
eed to work under harsh conditions over years. Therefore reliability\, sys
tem stability and 100% interoperability with connected automation systems
are the key requirements for PLCs. | Attendees will learn: | <
td style='outline: none\; font-family: Arial\, Helvetica\, sans-serif\; co
lor: #444444\; font-size: 9pt\; padding: 0\;' align='left' valign='top' he
ight='65'> - What is a PLC? What are the requirements of
PLCs?
- Infineon solutions for PLCs
- Infineon's highlight
products
- Digital processor control and power supply
- S
ystem communication
- Infineon solutions for digital input and out
put modules (I/O modules)
- System security and protection
<
li>System sensors »\; Re
gister Today |  \;
CATEGORIES:Education
UID:20161116T1533190Z-511394-1121@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161206T140000
DTEND;TZID=America/Sao_Paulo:20161206T150000
SUMMARY:Z-Wave and UL Compliance: Confident IoT Development
DESCRIPTION:Overview: Security is now essential to any successful IoT dep
loyment. Participants of this webinar will learn how component certificati
on can help speed up IoT and security product development. UL will provide
an overview of component certification and its relationship to end-produc
t and system certification. Sigma Designs will offer insights into how the
ir technology can be used to enhance the performance of IoT and security d
evices and systems. Attendees will learn: How UL component recognition s
peeds development and time to market Why 2-way device communication is the
only way to ensure Iot security and reliability Why end-to-end encryption
has become a mandatory feature for devices and cloud What is required to
get UL and Z-Wave certification » Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview: <
table border='0' width='400' cellspacing='0' cellpadding='0'> |  \; | Security is now essential to any successful IoT deployment. Participan
ts of this webinar will learn how component certification can help speed u
p IoT and security product development. UL will provide an overview of com
ponent certification and its relationship to end-product and system certif
ication. Sigma Designs will offer insights into how their technology can b
e used to enhance the performance of IoT and security devices and systems.
 \; | Attendees will learn: | - How UL component recognition speeds development and time to market
- Why 2-way device communication is the only way to ensure Iot se
curity and reliability
- Why end-to-end encryption has become a ma
ndatory feature for devices and cloud
- What is required to get UL
and Z-Wave certification
| »\; Register Today |  \
;
CATEGORIES:Education
UID:20161116T1535090Z-511394-1122@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161123T090000
DTEND;TZID=America/Sao_Paulo:20161123T120000
SUMMARY:Workshop LoRa (P2P e WAN)- Inatel - Evento gratuito
DESCRIPTION:Bom dia cliente Future\, tudo bem? Estaremos com o inédito se
minário de LoRa\, que será ministrado pela Semtech\, empresa que desenvolv
eu a tecnologia e rádio de LoRa\, e a Artimar\, representante da Microchip
\, que faz parte da aliança LoRa WAN\, ambas em parceria com a Future Elec
tronics do Brasil O seminário será o primeiro realizado no Brasil\, e esco
lhemos a cidade de Santa Rita do Sapucaí como ponto de partida para divulg
ação desta tecnologia. Nesta oportunidade apresentaremos tanto a tecnolo
gia LoRa WAN quanto a P2P\, pouco divulgada mas com muitas aplicações onde
a utilização de gateway inviabiliza a aplicação. Terá início às 9:00 (rec
omendamos chegar até as 8:30) do dia 23/11 e o evento será totalmente grat
uito. OBS: informaremos a sala do Inatel onde acontecera o evento no dia 2
1/11 apenas para os inscritos (data limite para inscrição). Caso tenha a
lguma dúvida\, por favor me ligue. Teremos vagas limitadas\, então faça su
a inscrição agora! Abaixo segue o link de inscrição e agenda. OBS: esse ev
ento pode ser compartilhado (enviado) para seus contatos... www.eventosfut
ure.com.br Até lá.
X-ALT-DESC;FMTTYPE=text/html:Bom dia clien
te Future\, tudo bem?  \; <
p style='text-align: justify\;'>Estaremos com o iné\;dito semin&aacu
te\;rio de LoRa\, que será\; ministrado pela Semtech\, empresa que d
esenvolveu a tecnologia e rá\;dio de LoRa\, e a Artimar\, representa
nte da Microchip\, que faz parte da alianç\;a LoRa WAN\, ambas em pa
rceria com a Future Electronics do Brasil O seminá\;rio será\; o primeiro realizado no Brasil\, e
escolhemos a cidade de Santa Rita do Sapucaí\; como ponto de partida
para divulgaç\;ã\;o desta tecnologia.  \; Nesta oportun
idade apresentaremos tanto a tecnologia LoRa WAN quanto a P2P\, pouco divu
lgada mas com muitas aplicaç\;õ\;es onde a utilizaç\;&at
ilde\;o de gateway inviabiliza a aplicaç\;ã\;o. Terá\; iní\;cio à\;s 9:00 (recom
endamos chegar até\; as 8:30) do dia 23/11 e o evento será\; t
otalmente gratuito. OBS: informaremo
s a sala do Inatel onde acontecera o evento no dia 21/11 apenas para os in
scritos (data limite para inscriç\;ã\;o).  \; Caso tenha
alguma dú\;vida\, por favor me ligue. Teremos vagas limitadas\, entã\;o faç\;a sua inscri&c
cedil\;ã\;o agora! Abaixo segu
e o link de inscriç\;ã\;o e agenda. OBS: esse evento pode ser compartilhado (enviado) para seus co
ntatos... www.eventosfuture.com.
br  \; Até\; lá\;.
CATEGORIES:Education
UID:20161116T1542280Z-511394-1123@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161117T160000
DTEND;TZID=America/Sao_Paulo:20161117T170000
SUMMARY:Whole Building Control: From Concept to Reality
DESCRIPTION:Building control systems have come a long way. Individual contr
ols evolved into centralized controls which have now evolved into modern-d
ay\, advanced systems that offer an unprecedented level of whole building
control. Today's systems bolster energy efficiency\, lower costs and offer
intuitive operation. Led by speakers Kevin Miskewicz\, LEED® Green Associ
ate\, Director\, Commercial Marketing\, and Mark Kulik\, Associate Product
Manager\, Controls\, HVAC professionals will learn about recent developme
nts that have empowered innovative building management in this free\, hour
-long webinar. Learning Objectives: Examine current industry trends inform
ing controls system development Identify the context for the recent develo
pments in controls systems Explore what today's most advanced systems look
like and how they differ from earlier technologies Predict the future of
controls systems Register Now
X-ALT-DESC;FMTTYPE=text/html:Building control systems have come a long way. I
ndividual controls evolved into centralized controls which have now evolve
d into modern-day\, advanced systems that offer an unprecedented level of
whole building control. Today's systems bolster energy efficiency\, lower
costs and offer intuitive operation. Led by speakers Kevin Misk
ewicz\, LEED®\; Green Associate\, Director\, Commercial Marketing\, and
Mark Kulik\, Associate Product Manager\, Controls\, HVAC professionals wi
ll learn about recent developments that have empowered innovative building
management in this free\, hour-long webinar. Learning Objectiv
es: - Examine current industry trends informing controls s
ystem development
-
Identify the context for the recent developments in controls systems
- Explore what today's mo
st advanced systems look like and how they differ from earlier technologie
s
- Predict the futu
re of controls systems
Register Now<
/a>
CATEGORIES:Education
UID:20161116T1543490Z-511394-1124@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161202T160000
DTEND;TZID=America/Sao_Paulo:20161202T170000
SUMMARY:Design a Smart IoT Platform Using Samsung ARTIK
DESCRIPTION: Today’s design engineers are inundated with IoT products but
most of these products only deliver part of the solution. Their features s
ets can differ greatly and often you don’t know what you have (or don’t ha
ve) until it’s too late. In this webinar\, designers will be given the cor
rect path to follow based of their specific needs and features. In the pro
cess\, the Samsung ARTIK Smart IoT Platform will be used as the design exa
mple\, as it can serve as a complete end to end platform combining hardwar
e (edge/hub/gateway nodes)\, software (ARTIK Cloud and an open API structu
re)\, a partner ecosystem\, and security. Features covered in the webinar
will include a faster time to market\, device interoperability\, including
the cloud\, and end-to-end security. Speaker: Rina Raman\, Sr. Director A
pplications and Customer Engineering\, Samsung ARTIK Moderator: Brandon L
ewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| |
Today&rsquo\;s design engineers are inundated with IoT products but mos
t of these products only deliver part of the solution. Their features sets
can differ greatly and often you don&rsquo\;t know what you have (or don&
rsquo\;t have) until it&rsquo\;s too late. In this webinar\, desig
ners will be given the correct path to follow based of their specific need
s and features. In the process\, the Samsung ARTIK Smart IoT Platform will
be used as the design example\, as it can serve as a complete end to end
platform combining hardware (edge/hub/gateway nodes)\, software (ARTIK Clo
ud and an open API structure)\, a partner ecosystem\, and security. Featur
es covered in the webinar will include a faster time to market\, device in
teroperability\, including the cloud\, and end-to-end security. |
Speaker: Rina Raman\, Sr. Director Applications and
Customer Engineering\, Samsung ARTIK Moderator: \; Brandon Lewis\, OpenSystems Media
| |  \;
CATEGORIES:Education
UID:20161116T1549040Z-511394-1127@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161116T160000
DTEND;TZID=America/Sao_Paulo:20161116T170000
SUMMARY:Reducing Prototyping Costs with Rapid Overmolding
DESCRIPTION:Prototyping overmolded parts using production grade materials i
s now easier with the recent launch of rapid overmolding services at Proto
Labs. Using existing mold technology\, you can get overmolded prototypes
within days\, allowing you to reduce design risk while accelerating develo
pment. In this Webinar\, you'll learn: • How rapid overmolding works • App
lications for overmolding • Where rapid overmolding fits in product develo
pment and production cycles • Design considerations to improve moldability
For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Prototyping o
vermolded parts using production grade materials is now easier with the re
cent launch of rapid overmolding services at Proto Labs.
Usin
g existing mold technology\, you can get overmolded prototypes within days
\, allowing you to reduce design risk while accelerating development.
In this Webinar\, you'll learn: &bull\; | Ho
w rapid overmolding works | &bull\; | Applications for overmolding | &bull\; | Where rapid overmolding fits in product de
velopment and production cycles | &bull\; | Design considerations to improve moldability |
tr> For more
information and to register\, click here.
CATEGORIES:Education
UID:20161116T1550460Z-511394-1128@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161115T160000
DTEND;TZID=America/Sao_Paulo:20161115T170000
SUMMARY: IoT Security – What do you really need on a connected node?
DESCRIPTION:Your Avnet University course is today. Title: IoT Security – Wh
at do you really need on a connected node? Date: Tuesday\, November 15\, 2
016 Time: 2:00 PM EST Duration: 60 minutes Sponsor: Avnet Presentation Lin
k: Click Here When you're ready\, please click on the Presentation Link ab
ove to start the log-in process. If you have any problems joining\, you ma
y access our online help files at any time. System Setup & Compatibility C
heck Test the computer that you will be using the day of the virtual show
and make sure you have the minimum technical requirements to attend. Allow
sufficient time prior to the event for this test. Test your system. To op
t out of future Avnet University mailings\, please send an email to avneti
ot@penton.com with the subject 'Unsubscribe'. Copyright 2016\, Penton. All
rights reserved.
X-ALT-DESC;FMTTYPE=text/html:Your Avnet University cours
e is today. Title:
IoT Security &ndash\; What do you really need on a connected node? <
strong>Date: Tuesday\, \;November 15\, 2016 Tim
e: 2:00 PM \;EST Duration: 60 minutes<
br /> Sponsor: Avnet Presentation Link: Click Here When you'r
e ready\, please click on the Presentation Link above to start the log-in
process. If you have any problems jo
ining\, you may access our online help files at any time. System Setup &\; Compatibility Check Test
the computer that you will be using the day of the virtual show and make
sure you have the minimum technical requirements to attend. Allow sufficie
nt time prior to the event for this test. Test your system. To opt out of future Avnet University mailings\, please send
an email to avnetiot@penton.com
with the subject 'Unsubscribe'. Cop
yright 2016\, Penton. All rights reserved.
CATEGORIES:Education
UID:20161116T1554020Z-511394-1129@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161213T160000
DTEND;TZID=America/Sao_Paulo:20161213T170000
SUMMARY:Leverage Multi-mode Connectivity for Flexible\, Sustainable IoT Dev
ice Deployments
DESCRIPTION: Sponsored by: REGISTER NOW Wi-Fi\, Bluetooth\, ZigBee\, cellu
lar\, LPWAN\, and a growing number of other wireless technologies are all
crowding into the IoT space looking to connect their share of billions of
edge devices. But you can’t wait for the protocol “standards wars” to be d
ecided – you need to get to market quickly with devices that can endure a
constantly evolving network landscape for years to come. Join connectivity
experts from the IP\, semiconductor\, and OEM industries as they outline
the benefits of multi-mode connectivity for IoT devices. Market trends\, b
andwidth requirements\, power consumption\, and software considerations wi
ll be addressed\, leading to a single question: When choosing a wireless t
echnology\, why settle for only one? Speaker: Anaren\, Sierra Wireless Mod
erator: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | | Sponsored by: | Wi-Fi\, Bluetooth\, Z
igBee\, cellular\, LPWAN\, and a growing number of other wireless technolo
gies are all crowding into the IoT space looking to connect their share of
billions of edge devices. But you can&rsquo\;t wait for the protocol &ldq
uo\;standards wars&rdquo\; to be decided &ndash\; you need to get to marke
t quickly with devices that can endure a constantly evolving network lands
cape for years to come. Join connectivity experts from the IP\, se
miconductor\, and OEM industries as they outline the benefits of multi-mod
e connectivity for IoT devices. Market trends\, bandwidth requirements\, p
ower consumption\, and software considerations will be addressed\, leading
to a single question: When choosing a wireless technology\, why settle fo
r only one? | Speaker: Anaren\, Sierra Wire
less Moderator: \; Curt Schwaderer\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20161116T1602310Z-511394-1132@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161117T150000
DTEND;TZID=America/Sao_Paulo:20161117T160000
SUMMARY:Solar-powered Automated Transit Networks: The Future of Sustainable
Urban Transportation
DESCRIPTION:More information and to register: Background The webinar wi
ll present the concept of solar-powered automated transit networks (ATNs).
It will discuss why solar-powered ATNs makes sense as the preferable alte
rnative mode of transit for dense\, urban areas\; what unique advantages t
hey have\; and how they can integrate into existing urban environments. Al
so to be discussed are: the challenges facing implementation\; how univers
ities and students can make a difference in their ...Read More
X-ALT-DESC;FMTTYPE=text/html:More in
formation and to register:  \; Background  \; The w
ebinar will present the concept of solar-powered automated transit network
s (ATNs). It will discuss why solar-powered ATNs makes sense as the prefer
able alternative mode of transit for dense\, urban areas\; what unique adv
antages they have\; and how they can integrate into existing urban environ
ments. Also to be discussed are: the challenges facing implementation\; ho
w universities and students can make a difference in their .
..Read More  \;  \;
 \;  \
;  \;  \;
CATEGORIES:Education
UID:20161116T1606460Z-511394-1133@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161117T160000
DTEND;TZID=America/Sao_Paulo:20161117T170000
SUMMARY:Automotive Lightweighting
DESCRIPTION:Join us for a free 60-minute webinar\, 'Automotive Lightweighti
ng\,' with live Q&A on Thursday\, November 17\, at 2:00 PM EST. More than
any time in its 125-year history\, the auto industry is trying to lose wei
ght. To accomplish that\, it's applying new materials to components rangin
g from hoods and engine covers to brake rotors and body panels. Sandy Munr
o of Munro & Associates will examine the growing trend toward the use of p
lastics and carbon fiber composites inside and outside the vehicle. The
webinar will cover: • Conventional weight savings • Why carbon fiber is a
big deal • BMW i3 aluminum frame • Why aluminum die-cast is an option • An
d more... Register
X-ALT-DESC;FMTTYPE=text/html:Join us for a free 60-minute webinar\, 'Automotive Lightweighting\,' with live Q&\;A on Thursday\
, November 17\, at 2:00 PM EST. More than any time in its 125-year
history\, the auto industry is trying to lose weight. To accomplish that\
, it's applying new materials to components ranging from hoods and engine
covers to brake rotors and body panels. Sandy Munro of Munro &\
; Associates will examine the growing trend toward the use of plastics and
carbon fiber composites inside and outside the vehicle.  \; | The webinar will cover: |
&bull\; | Conventional weight savings | <
tr> &bull\; | Why carbon fiber is a big deal |
&bull\; | BMW i3 aluminum frame | <
/tr> &bull\; | Why aluminum die-cast is an op
tion | &bull\; | And more... |
Register
CATEGORIES:General
UID:20161116T1611180Z-511394-1134@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161118T150000
DTEND;TZID=America/Sao_Paulo:20161118T160000
SUMMARY: Explore the application potential of the ARM® Cortex®-M7 core
DESCRIPTION:Join this webinar to learn more about the distinctive character
istics of the ARM Cortex-M7 core\, the latest hardware architectural impro
vements and its application and use cases. Kinetis® V series MCUs will be
used to help illustrate its application potential within the motor control
and power conversion context. . Featured Speaker: David C. Black\, Senior
Member Technical Staff\, Doulos Embedded . Register Now »
X-ALT-DESC;FMTTYPE=text/html: Join this webinar to learn m
ore about the distinctive characteristics of the ARM Cortex-M7 core\, the
latest hardware architectural improvements and its application and use cas
es. Kinetis®\; V series MCUs will be used to help illustrate its applic
ation potential within the motor control and power conversion context.
. Featured Speaker: David C. Black\,
Senior Member Technical Staff\, Doulos Embedded
p> &nbs
p\; .
CATEGORIES:Education
UID:20161116T1614000Z-511394-1135@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161207T160000
DTEND;TZID=America/Sao_Paulo:20161207T170000
SUMMARY:Securing Medical Devices in a Hostile World: Challenges and Ideas f
or Manufacturers
DESCRIPTION:Around the world\, medical devices are being deployed in increa
singly unsecured environments. This Webinar will provide a brief discussio
n of the top cybersecurity issues that medical devices face today and will
also explore the most effective way to assess the state of the device's s
ecurity\, including a discussion on appropriate mitigations that can be us
ed. The Webinar will answer the following questions: • What are some of th
e cybersecurity challenges that we see specific to medical devices? • How
do I assess the security of my medical devices prior to going to market? •
What is the regulatory landscape looking like in the EU and the US today?
For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Around the wo
rld\, medical devices are being deployed in increasingly unsecured environ
ments.
This Webinar will provide a brief discussion of the to
p cybersecurity issues that medical devices face today and will also explo
re the most effective way to assess the state of the device's security\, i
ncluding a discussion on appropriate mitigations that can be used. <
br /> The Webinar will answer the following questions: &bull\; | What are some of the cybersecu
rity challenges that we see specific to medical devices? |
&bull\; | How do I assess the security of my med
ical devices prior to going to market? | &bull\
; | What is the regulatory landscape looking like in the EU
and the US today? | For more information and to register\, click here
a>.
CATEGORIES:Education
UID:20161116T1618480Z-511394-1137@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20161130T130000
DTEND;TZID=America/Sao_Paulo:20161130T140000
SUMMARY:Current and future 3D imaging methods and applications
DESCRIPTION:In this webcast\, you will learn about these different methods
of 3D imaging\, in terms of both hardware and software\, and which is most
appropriate for a given machine vision or image processing application. A
nd we will discuss the current state of imaging research underway that wil
l define the market for imaging hardware in the future. What You'll Lear
n: Various methods of 3D imaging Different applications of 3D imaging Ha
rdware and software options Examples of 3D imaging products Who Should A
ttend: Anyone wanting to learn about 3D imaging Scientists\, engineers\, d
esigners\, and managers Current developers who require a more in-depth und
erstanding of the underlying technology Those considering 3D imaging hardw
are/software in future projects End users/OEMs Click Here to Register
X-ALT-DESC;FMTTYPE=text/html:In this webcast\, you will learn about these different meth
ods of 3D imaging\, in terms of both hardware and software\, and which is
most appropriate for a given machine vision or image processing applicatio
n. And we will discuss the current state of imaging research underway that
will define the market for imaging hardware in the future.
 \; What You'll Learn:  
\; - V
arious methods of 3D imaging
- Different applications of 3D imaging
- Hardware and
software options
- Examples of 3D imaging products
&nbs
p\;
Who Should Attend:
span> - Anyone wanting to learn about
3D imaging
- Scientists\, engineers\, designers\, and managers
<
li>Current developer
s who require a more in-depth understanding of the underlying technology <
/span> - Tho
se considering 3D imaging hardware/software in future projects
- End users/OEMs
 \; Click Here to Regi
ster<
/span>
CATEGORIES:General
UID:20161116T1629470Z-511394-1138@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180426T140000
DTEND;TZID=America/Sao_Paulo:20180426T150000
SUMMARY:Optics selection optimizes LEDs for horticultural lighting
DESCRIPTION:In this webcast Tero Mäkinen\, LEDiL’s Global Product coach\, w
ill explain how optics can ensure those precious photons find the plants a
s efficiently as possible. What You'll Learn: • Benefits of optics in hort
icultural LED luminaires • What optics to use in horticultural lighting lu
minaires • An example design of how to use optics to maximize PPF and save
energy Who Should Attend: Growers\, lighting OEM\, designers\, specifiers
REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:In this webcast Tero Mä\;kinen\, LEDiL&
rsquo\;s Global Product coach\, will explain how optics can ensure those p
recious photons find the plants as efficiently as possible. What Y
ou'll \;Learn: &bull\; | Benefits of optics in horticultural LED luminaires
&bull\; |
What optics to use in horticultural lighting luminai
res | &bull\;
| An example design of how to use optics to max
imize PPF and save energy | Who Sh
ould \;Attend: Growers\, lig
hting OEM\, designers\, specifiers |
CATEGORIES:Education
UID:20180414T1849500Z-511394-1754@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171102T150000
DTEND;TZID=America/Sao_Paulo:20171102T160000
SUMMARY:Half Bridge and Gate Driver Measurements
DESCRIPTION:Summary The faster switching transitions on modern power device
s has made measuring and characterizing a considerable challenge\, and in
some cases\, impossible. IsoVu technology from Tektronix allows designers
to accurately measure half bridge and gate driver waveforms that were pre
viously hidden. During our live webinar\, we will discuss the following t
opics: Measurement challenges on gate drivers and half bridges Common sour
ces of measurement error Why a probe’s poor common mode rejection can caus
e misleading and useless measurements How IsoVu technology has created opp
ortunities in CMTI\, ESD testing\, and the double pulse test REGISTER
X-ALT-DESC;FMTTYPE=text/html: The faster switching transitions on modern power
devices has made measuring and characterizing a considerable challenge\, a
nd in some cases\, impossible. \; IsoVu technology from Tektronix allo
ws designers to accurately measure half bridge and gate driver waveforms t
hat were previously hidden. \; During our live webinar
\, we will \;discuss the following topics: - Measurement challenges on gate drivers and half b
ridges
- Common sources of measurement
error
- Why a probe&rsquo\;s poor com
mon mode rejection can cause misleading and useless measurements
- How IsoVu technology has created opportunitie
s in CMTI\, ESD testing\, and the double pulse test
 \
; REGISTER
CATEGORIES:Education
UID:20171021T1713480Z-511394-1606@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180301T140000
DTEND;TZID=America/Sao_Paulo:20180301T150000
SUMMARY:User Interfaces and Industrial IoT – Hands-On - Day 4
DESCRIPTION:The Industrial Internet of Things (IIoT) will continue to provi
de its share of design challenges\, but one we often need to consider is w
hat user interfaces are needed. Depending on the application\, we may need
anything from a simple button / LED (the “hello world” of embedded progra
mming) to a full color touch screen. Or we may take advantage of the conne
ctivity of the Internet to provide all controls and readouts on a remotely
located screen. We will look at the various needs on some representative
IIoT applications and how we can provide the needed user interface(s). We
will make use of some examples for both simple character LCD and graphical
touch display\, including some hands-on exercises for both. Then we will
finish up by looking at some innovative ways of providing remote operation
of our devices. March 1 – Day 4 – Beginning Graphics Interface\, Hands-on
(Part 2) In our last class\, we will look beyond the traditional built-in
user interface to other methods of controlling and monitoring our devices
in the IIoT. We will look at methods of providing remote consoles through
web page interfaces and even a custom mobile/tablet app.
X-ALT-DESC;FMTTYPE=text/html:The Industria
l Internet of Things (IIoT) will continue to provide its share of design c
hallenges\, but one we often need to consider is what user interfaces are
needed. Depending on the application\, we may need anything from a simple
button / LED (the &ldquo\;hello world&rdquo\; of embedded programming) to
a full color touch screen. Or we may take advantage of the connectivity of
the Internet to provide all controls and readouts on a remotely located s
creen. We will look at the various n
eeds on some representative IIoT applications and how we can provide the n
eeded user interface(s). We will make use of some examples for both simple
character LCD and graphical touch display\, including some hands-on exerc
ises for both. Then we will finish up by looking at some innovative ways o
f providing remote operation of our devices. -
In our last class\, we will look beyond the traditio
nal built-in user interface to other methods of controlling and monitoring
our devices in the IIoT. We will look at methods of providing remote cons
oles through web page interfaces and even a custom mobile/tablet app.  \;
CATEGORIES:Education
UID:20180220T1719060Z-511394-1702@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171102T160000
DTEND;TZID=America/Sao_Paulo:20171102T170000
SUMMARY:Wireless Connectivity for MCU-based IoT Designs - Day 4
DESCRIPTION:This course will focus on three important wireless IoT connecti
vity methods\; BlueTooth LE\, WiFi and Cellular. A short description of ea
ch technology will be provided\, along with hands-on example implementatio
ns. The Renesas Synergy Platform will be used as the target for the hands-
on implementations and interested students can optionally download the fre
e software\, which includes the popular ThreadX RTOS and associated networ
king stacks. Additionally\, students can optionally purchase a Synergy har
dware kit to test out the hands-on designs used in the course. November 2
– Day 4 – WiFi This class will provide descriptions and examples of BlueTo
oth use in IoT designs. The Renesas Synergy Platform and other implementat
ions will be described.
X-ALT-DESC;FMTTYPE=text/html:This course w
ill focus on three important wireless IoT connectivity methods\; BlueTooth
LE\, WiFi and Cellular. A short description of each technology will be pr
ovided\, along with hands-on example implementations. The Renesas Synergy Platform will be used as the target
for the hands-on implementations and interested students can optionally do
wnload the free software\, which includes the popular ThreadX RTOS and ass
ociated networking stacks. Additionally\, students can optionally purchase
a Synergy hardware kit to test out the hands-on designs used in the cours
e.
CATEGORIES:Education
UID:20171028T2111290Z-511394-1616@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170313T160000
DTEND;TZID=America/Sao_Paulo:20170313T170000
SUMMARY:MCU-based IoT Designs: Linear and Analog Peripherals - A Hands on C
ourse - Day 1
DESCRIPTION:MCUs provide the foundation on which the IoT is being created a
nd at some point the digital Microcontroller world needs to meet the real
analog world. Whether with analog sensors\, coils for mechanical actuators
or motors\, high temperature thermocouples or simple battery level detect
ors connecting to the analog world is going to be a requirement. This cour
se will provide an overview of the many types of analog and linear periphe
rals available for IoT applications\, and quick description of the most co
mmon peripherals- such as ADC\, DAC\, Voltage detectors will be covered. A
dditionally\, more specialized analog elements will also be included. Some
example implementations\, available on inexpensive MCU kits\, will provid
e a ‘hands-on' element for the course. March 13 – Day 1: An Introduction t
o MCU-based IoT Designs Register Now MCUs are the bricks on which the IoT
is being built. This class will provide the background needed to understan
d why MCUs are used and how common IoT functions are implemented. Mar 13\,
2017 - 2:00pm EDT
X-ALT-DESC;FMTTYPE=text/html:MCUs provide
the foundation on which the IoT is being created and at some point the dig
ital Microcontroller world needs to meet the real analog world. Whether wi
th analog sensors\, coils for mechanical actuators or motors\, high temper
ature thermocouples or simple battery level detectors connecting to the an
alog world is going to be a requirement.
This course will provi
de an overview of the many types of analog and linear peripherals availabl
e for IoT applications\, and quick description of the most common peripher
als- such as ADC\, DAC\, Voltage detectors will be covered. Additionally\,
more specialized analog elements will also be included. Some example impl
ementations\, available on inexpensive MCU kits\, will provide a &lsquo\;h
ands-on' element for the course.
CATEGORIES:Education
UID:20170310T1338490Z-511394-1245@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170314T160000
DTEND;TZID=America/Sao_Paulo:20170314T170000
SUMMARY:MCU-based IoT Designs: Linear and Analog Peripherals - A Hands on C
ourse - Day 2
DESCRIPTION:MCUs provide the foundation on which the IoT is being created a
nd at some point the digital Microcontroller world needs to meet the real
analog world. Whether with analog sensors\, coils for mechanical actuators
or motors\, high temperature thermocouples or simple battery level detect
ors connecting to the analog world is going to be a requirement. This cour
se will provide an overview of the many types of analog and linear periphe
rals available for IoT applications\, and quick description of the most co
mmon peripherals- such as ADC\, DAC\, Voltage detectors will be covered. A
dditionally\, more specialized analog elements will also be included. Some
example implementations\, available on inexpensive MCU kits\, will provid
e a ‘hands-on' element for the course. March 14 – Day 2: Analog to Digital
Conversion for IoT Applications Register Now This class will provide desc
riptions and examples of MCU-based ADC peripherals used in IoT application
s. Hardware resources\, constraints and limitations\, and example designs
will be described. Mar 14\, 2017 - 2:00pm EDT Mar 13\, 2017 - 2:00pm EDT
X-ALT-DESC;FMTTYPE=text/html: MCUs provide
the foundation on which the IoT is being created and at some point the dig
ital Microcontroller world needs to meet the real analog world. Whether wi
th analog sensors\, coils for mechanical actuators or motors\, high temper
ature thermocouples or simple battery level detectors connecting to the an
alog world is going to be a requirement.
This course will provi
de an overview of the many types of analog and linear peripherals availabl
e for IoT applications\, and quick description of the most common peripher
als- such as ADC\, DAC\, Voltage detectors will be covered. Additionally\,
more specialized analog elements will also be included. Some example impl
ementations\, available on inexpensive MCU kits\, will provide a &lsquo\;h
ands-on' element for the course. -
Th
is class will provide descriptions and examples of MCU-based ADC periphera
ls used in IoT applications. Hardware resources\, constraints and limitati
ons\, and example designs will be described. Mar 14\, 2017 - 2:00pm EDT
div> Mar 13\, 2017 - 2:00pm EDT
CATEGORIES:Education
UID:20170310T1339540Z-511394-1246@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170315T160000
DTEND;TZID=America/Sao_Paulo:20170315T170000
SUMMARY:MCU-based IoT Designs: Linear and Analog Peripherals - A Hands on C
ourse - Day 3
DESCRIPTION:MCUs provide the foundation on which the IoT is being created a
nd at some point the digital Microcontroller world needs to meet the real
analog world. Whether with analog sensors\, coils for mechanical actuators
or motors\, high temperature thermocouples or simple battery level detect
ors connecting to the analog world is going to be a requirement. This cour
se will provide an overview of the many types of analog and linear periphe
rals available for IoT applications\, and quick description of the most co
mmon peripherals- such as ADC\, DAC\, Voltage detectors will be covered. A
dditionally\, more specialized analog elements will also be included. Some
example implementations\, available on inexpensive MCU kits\, will provid
e a ‘hands-on' element for the course. March 15 – Day 3: Digital to Analog
Conversion for IoT Applications Register Now This class will provide desc
riptions and examples of MCU-based DAC peripherals used in IoT application
s. Hardware resources\, constraints and limitations\, and example designs
will be described.
X-ALT-DESC;FMTTYPE=text/html:MCUs provide
the foundation on which the IoT is being created and at some point the dig
ital Microcontroller world needs to meet the real analog world. Whether wi
th analog sensors\, coils for mechanical actuators or motors\, high temper
ature thermocouples or simple battery level detectors connecting to the an
alog world is going to be a requirement.
This course will provi
de an overview of the many types of analog and linear peripherals availabl
e for IoT applications\, and quick description of the most common peripher
als- such as ADC\, DAC\, Voltage detectors will be covered. Additionally\,
more specialized analog elements will also be included. Some example impl
ementations\, available on inexpensive MCU kits\, will provide a &lsquo\;h
ands-on' element for the course. -
This class will provide descriptions
and examples of MCU-based DAC peripherals used in IoT applications. Hardwa
re resources\, constraints and limitations\, and example designs will be d
escribed.
CATEGORIES:Education
UID:20170310T1340390Z-511394-1247@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170316T160000
DTEND;TZID=America/Sao_Paulo:20170316T170000
SUMMARY:MCU-based IoT Designs: Linear and Analog Peripherals - A Hands on C
ourse - Day 4
DESCRIPTION:MCUs provide the foundation on which the IoT is being created a
nd at some point the digital Microcontroller world needs to meet the real
analog world. Whether with analog sensors\, coils for mechanical actuators
or motors\, high temperature thermocouples or simple battery level detect
ors connecting to the analog world is going to be a requirement. This cour
se will provide an overview of the many types of analog and linear periphe
rals available for IoT applications\, and quick description of the most co
mmon peripherals- such as ADC\, DAC\, Voltage detectors will be covered. A
dditionally\, more specialized analog elements will also be included. Some
example implementations\, available on inexpensive MCU kits\, will provid
e a ‘hands-on' element for the course. March 16 – Day 4: Analog Support Fu
nctions Register Now This class will provide descriptions and examples of
some of the common analog support functions- battery level sensors\, power
measurement and other simple\, but important analog and linear circuits w
ill be described.
X-ALT-DESC;FMTTYPE=text/html:MCUs provide
the foundation on which the IoT is being created and at some point the dig
ital Microcontroller world needs to meet the real analog world. Whether wi
th analog sensors\, coils for mechanical actuators or motors\, high temper
ature thermocouples or simple battery level detectors connecting to the an
alog world is going to be a requirement.
This course will provi
de an overview of the many types of analog and linear peripherals availabl
e for IoT applications\, and quick description of the most common peripher
als- such as ADC\, DAC\, Voltage detectors will be covered. Additionally\,
more specialized analog elements will also be included. Some example impl
ementations\, available on inexpensive MCU kits\, will provide a &lsquo\;h
ands-on' element for the course. -
This cla
ss will provide descriptions and examples of some of the common analog sup
port functions- battery level sensors\, power measurement and other simple
\, but important analog and linear circuits will be described.
CATEGORIES:Education
UID:20170310T1341230Z-511394-1248@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170314T170000
DTEND;TZID=America/Sao_Paulo:20170314T180000
SUMMARY:Get Your IoT Strategy Right
DESCRIPTION:Get Your IoT Strategy Right The convergence of the physical and
digital worlds has happened -- fast and for the long-term. As organizatio
ns are beginning take advantage of the immense opportunities in the Intern
et of Things\, a strategic view of the market should be the first step. Jo
in us to hear PTC’s Senior Vice President of Corporate Strategy\, Don Busi
ek\, share insights on how to capture the real value of IoT. You will lear
n more about how to effectively compete in the market\, and about the part
nerships PTC is fostering to successfully capture broad IoT opportunities.
Join us on this executive webinar\, where PTC will share: Size and scope
of the global IoT market Technologies and capabilities that are key differ
entiators in an IoT offering Emerging and actionable settings and use case
s: where to win! Case study example of getting an IoT solution to market P
artnerships to address and penetrate the broader IoT market Register N
ow!
X-ALT-DESC;FMTTYPE=text/html: Get Your IoT Strategy Right The convergence of the physi
cal and digital worlds has happened -- fast and for the long-term. As orga
nizations are beginning take advantage of the immense opportunities in the
Internet of Things\, a strategic view of the market should be the first s
tep. Join us to hear PTC&rsquo\;s Senior Vice President of Corpora
te Strategy\, Don Busiek\, share insights on how to capture the real value
of IoT. You will learn more about how to effectively compete in the marke
t\, and about the partnerships PTC is fostering to successfully capture br
oad IoT opportunities. Join u
s on this executive webinar\, where PTC will share: - Size and scope of the global IoT market
- Tec
hnologies and capabilities that are key differentiators in an IoT offering
- Emerging and actionable settings and use cases: where to win!
li>
- Case study example of getting an IoT solution to market
- Partnerships to address and penetrate the broader IoT market
&nbs
p\; | |
tr>
CATEGORIES:Education
UID:20170310T1355560Z-511394-1253@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170317T160000
DTEND;TZID=America/Sao_Paulo:20170317T170000
SUMMARY:MCU-based IoT Designs: Linear and Analog Peripherals - A Hands on C
ourse - Day 5
DESCRIPTION:MCUs provide the foundation on which the IoT is being created a
nd at some point the digital Microcontroller world needs to meet the real
analog world. Whether with analog sensors\, coils for mechanical actuators
or motors\, high temperature thermocouples or simple battery level detect
ors connecting to the analog world is going to be a requirement. This cour
se will provide an overview of the many types of analog and linear periphe
rals available for IoT applications\, and quick description of the most co
mmon peripherals- such as ADC\, DAC\, Voltage detectors will be covered. A
dditionally\, more specialized analog elements will also be included. Some
example implementations\, available on inexpensive MCU kits\, will provid
e a ‘hands-on' element for the course. March 17 – Day 5: Analog Front Ends
Register Now This class will focus on the most advanced MCU-based Analog
Front End (AFE) peripherals and how they can be sued in IoT based designs.
X-ALT-DESC;FMTTYPE=text/html:MCUs provide
the foundation on which the IoT is being created and at some point the dig
ital Microcontroller world needs to meet the real analog world. Whether wi
th analog sensors\, coils for mechanical actuators or motors\, high temper
ature thermocouples or simple battery level detectors connecting to the an
alog world is going to be a requirement.
This course will provi
de an overview of the many types of analog and linear peripherals availabl
e for IoT applications\, and quick description of the most common peripher
als- such as ADC\, DAC\, Voltage detectors will be covered. Additionally\,
more specialized analog elements will also be included. Some example impl
ementations\, available on inexpensive MCU kits\, will provide a &lsquo\;h
ands-on' element for the course.
CATEGORIES:Education
UID:20170310T1342220Z-511394-1249@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170322T140000
DTEND;TZID=America/Sao_Paulo:20170322T150000
SUMMARY:Are Licensing and New Pricing Models Part of Your Industrial IoT St
rategy?
DESCRIPTION: Join us for Gemalto’s first Ask the Experts session of
the year\, featuring Dr. Christopher Anhalt\, Business Development Manager
at Softing Industrial Automation\, and Jam Khan\, Director Professional S
ervices at Gemalto. This live webinar will look at how Industrial IoT vend
ors can add a recurring revenue component to their product portfolio. Whet
her you are a device manufacturer or software developer\, you probably rea
lize that the Industrial IoT is revolutionizing the type of offering you b
ring to market. The advent of the IoT has given rise to new pricing and bu
siness models\, however\, their implementation is still in its infancy. W
hat stops you\, as Industrial IoT vendors\, from adding recurring revenue-
based offerings to your product portfolio? How can you differentiate yours
elf when hardware is becoming commoditized and a lot of software is becomi
ng open-source? In this session\, we will explore: Market drivers of innov
ative business models in the Industrial IoT Methods of implementing an inn
ovative pricing strategy for Industrial IoT products Reasons for implement
ing the licensing technology for OPC products Challenges and opportunities
of adopting software as a service business model for OPC products R
EGISTER NOW!
X-ALT-DESC;FMTTYPE=text/html: \;  \; Join us \;for Gemalto&rsquo\;s first Ask the
Experts session of the year\, featuring Dr. Christopher Anhalt\, Business
Development Manager at Softing Industrial Automation\, and Jam Khan\, Dire
ctor Professional Services at Gemalto. This live webinar will look at how
Industrial IoT vendors can add a recurring revenue component to their prod
uct portfolio. Whether you are a device manufacturer or softw
are developer\, you probably realize that the Industrial IoT is revolution
izing the type of offering you bring to market. The advent of the IoT has
given rise to new pricing and business models\, however\, their implementa
tion is still in its infancy. \; What stops you\, as Indu
strial IoT vendors\, from adding recurring revenue-based offerings to your
product portfolio? How can you differentiate yourself when hardware is be
coming commoditized and a lot of software is becoming open-source?<
br /> In this session\, we will explore:
- Mar
ket drivers of innovative business models in the Industrial IoT
- Me
thods of implementing an innovative pricing strategy for Industrial IoT pr
oducts
- Reasons for implementing the licensing technology for OPC p
roducts
- Challenges and opportunities of adopting software as a ser
vice business model for OPC products
 \; |  \;  \; \;REGISTER N
OW! \;  \; \; \; |
CATEGORIES:Education
UID:20170310T1349130Z-511394-1250@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170329T130000
DTEND;TZID=America/Sao_Paulo:20170329T140000
SUMMARY:See How System-on-Modules Can Improve Your Wireless Designs
DESCRIPTION: How do SOMs best fit into agile product development—especiall
y in wireless IoT applications? Join Digi and Fraser-Nash R&D to gain an i
nsider’s view of how Frazer-Nash hardware and software teams developed Lon
don’s first zero emission capable taxis—one of the famous black cabs of Lo
ndon. Learn the details of Frazer-Nash’s evaluation process and ROI method
ology that helped them decide whether or not to use a SOM. It’s rare that
engineers share details of their design process\, so this webinar will be
an exciting look into this hidden activity. Frazer-Nash will reveal the cu
stomer insights that directed their design direction and decision making.
Things like power consumption\, connectivity\, size/form factors and manuf
acturability—the things that made this such a complex project and notewort
hy accomplishment. Digi will demonstrate why these are not your grandfathe
r’s SOMs. Digi SOMs contain all the technology that today's developers dem
and and pack it all into the size of a postage stamp\, saving you time and
getting your product to market that much faster. In this webinar\, you’ll
get answers to these common questions: When does it make sense to use a S
OM? How do you assess SOM features for your project? What are key drivers
in selecting a SOM provider? How to choose the right wireless protocol for
your application? What software and tools will support your application?
How to factor in security into your connected product? This event is proud
ly sponsored by Digi International and Digi-Key Electronics. Speakers: G
reg Starns\, Executive Director: Software Development Group\, Frazer-Nash
Steven Riddel\, Electronics Design Manager\, Frazer-Nash Research Ltd Terr
y Schneider\, Vice President: Product Management\, Digi International Mode
rator: Rich Nass\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| | How do SOMs best fit into agile product development&mdash\;especially in
wireless IoT applications? Join Digi and Fraser-Nash R&\;D to gain an i
nsider&rsquo\;s view of how Frazer-Nash hardware and software teams develo
ped London&rsquo\;s first zero emission capable taxis&mdash\;one of the fa
mous black cabs of London. Learn the details of Frazer-Nash&rsquo\
;s evaluation process and ROI methodology that helped them decide whether
or not to use a SOM. It&rsquo\;s rare that engineers share details
of their design process\, so this webinar will be an exciting look into t
his hidden activity. Frazer-Nash will reveal the customer insights that di
rected their design direction and decision making. Things like power consu
mption\, connectivity\, size/form factors and manufacturability&mdash\;the
things that made this such a complex project and noteworthy accomplishmen
t. Digi will demonstrate why these are not your grandfather&rsquo\
;s SOMs. Digi SOMs contain all the technology that today's developers dema
nd and pack it all into the size of a postage stamp\, saving you time and
getting your product to market that much faster. In this webinar\,
you&rsquo\;ll get answers to these common questions: - When
does it make sense to use a SOM?
- How do you assess SOM features
for your project?
- What are key drivers in selecting a SOM provid
er?
- How to choose the right wireless protocol for your applicati
on?
- What software and tools will support your application?
- How to factor in security into your connected product?
- This
event is proudly sponsored by Digi International and Digi-Key Electronics
.
 \; | Speakers: Greg
Starns\, Executive Director: Software Development Group\, Frazer-Nash Steven Riddel\, Electronics Design Manager\, Frazer-Nash Research Ltd Terry Schneider\, Vice President: Product Management\, Digi Internatio
nal Moderator: \; Rich Nass\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20170310T1353060Z-511394-1252@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170322T150000
DTEND;TZID=America/Sao_Paulo:20170322T160000
SUMMARY:NB-IoT: A Cellular Technology Connecting the Internet of Things
DESCRIPTION: Why this webcast is important While the LPWA (Low Power Wid
e Area) market has existed for some time\, current implementations are fra
gmented and soft-standardized\, leading to weaknesses in security and reli
ability\, and very high operational costs due to incompatibility with exis
ting infrastructure. Narrowband-IoT (NB-IoT) is a new cellular Radio Acces
s Technology defined by the 3GPP aimed to address this market and overcome
these challenges\, presented as an upgrade path to existing cellular netw
orks. How does NB-IoT work and what are the test challenges? Who s
hould attend IoT/M2M project managers\, developers\, and test engineers. E
ngineers designing chipsets\, developing modems\, or buildling wireless de
vices. REGISTER
X-ALT-DESC;FMTTYPE=text/html:  \; | |  \; | Why this webcast is important While the LPWA (Low Power Wide Area) market has existed for some tim
e\, current implementations are fragmented and soft-standardized\, leading
to weaknesses in security and reliability\, and very high operational cos
ts due to incompatibility with existing infrastructure. Narrowband-IoT (NB
-IoT) is a new cellular Radio Access Technology defined by the 3GPP aimed
to address this market and overcome these challenges\, presented as an upg
rade path to existing cellular networks. How does NB-IoT work and what are
the test challenges?  \;  \; | | |  \; |  \; | Who shou
ld attend IoT/M2M project managers\, developers\, and test engineers. En
gineers designing chipsets\, developing modems\, or buildling wireless dev
ices. | | REGISTER
CATEGORIES:Education
UID:20170310T1359210Z-511394-1254@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170315T140000
DTEND;TZID=America/Sao_Paulo:20170315T150000
SUMMARY:Designing Next-Generation Flash Storage Solutions with Configurable
and Extensible Processors
DESCRIPTION:A transition is occurring in the mass storage market from hard
disk drives to solid state drives. Forecasts are for flash storage to grow
from 100 Exabytes today to 750 EB in 2020. Most of this growth will be in
SSD (+60% CAGR)\, but automotive applications and mobile will contribute
as well. To take advantage of this market growth\, designers have to addre
ss the need for increasing transfer rates and storage capacities while man
aging cost\, latency and power. This requires a different approach to the
control functions built into the storage devices. HDDs were built with ded
icated hardware\, general purpose processors (GPPs)\, or digital signal pr
ocessors (DSPs) as the control element due to the nature of the tasks requ
ired. This is changing with SSDs\, which require a configurable RISC proce
ssor that support signal processing and can be customized for the desired
control functions to maximize performance while minimizing latency and cos
t. This webinar will present the key features of the ARC processors and ho
w they can be used to build high-performance storage solutions. What you'l
l Learn: How to implement processors to optimize performance while minimiz
ing latency and cost How to use processor extensibility to create competit
ive advantage The broad range of processor solutions that Synopsys offers
for storage and embedded applications Who Should attend: Designers and Man
agers who are developing SoCs for storage applications and other embedded
applications that require high-performance processing with minimum power c
onsumption and silicon cost. REGISTER
X-ALT-DESC;FMTTYPE=text/html:A transition is occurring in the mass stora
ge market from hard disk drives to solid state drives. Forecasts are for f
lash storage to grow from 100 Exabytes today to 750 EB in 2020. Most of th
is growth will be in SSD (+60% CAGR)\, but automotive applications and mob
ile will contribute as well. To take advantage of this market growth\, des
igners have to address the need for increasing transfer rates and storage
capacities while managing cost\, latency and power. This requires a differ
ent approach to the control functions built into the storage devices. HDDs
were built with dedicated hardware\, general purpose processors (GPPs)\,
or digital signal processors (DSPs) as the control element due to the natu
re of the tasks required. This is changing with SSDs\, which require a con
figurable RISC processor that support signal processing and can be customi
zed for the desired control functions to maximize performance while minimi
zing latency and cost. This webinar will present the key features of the A
RC processors and how they can be used to build high-performance storage s
olutions. What you'll Learn: - How to implement
processors to optimize performance while minimizing latency and cost
- How to use processor extensibility to create competitive advantage
- The broad range of processor solutions that Synopsys offers for st
orage and embedded applications
Who Should attend: <
br />Designers and Managers who are developing SoCs for storage applicatio
ns and other embedded applications that require high-performance processin
g with minimum power consumption and silicon cost. REGISTER
CATEGORIES:General
UID:20170310T1556310Z-511394-1261@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170315T150000
DTEND;TZID=America/Sao_Paulo:20170315T160000
SUMMARY:Characterizing and Modeling Switch Mode Power Supplies
DESCRIPTION:Why this Webcast is Important: Whether your power supply design
s are based on switch mode or linear technology\, there are many reasons w
hy you should characterize the frequency response of your power supply des
igns. Two reasons are to better to understand the stability and noise immu
nity under various load change conditions based on an existing design. Ano
ther good reason is to gain the missing information required to create or
improve a simulation model which can then be used to simulate operation un
der different design conditions\, including worst-case tolerances. During
this webcast\, you will learn how to do the following: -Perform a PSRR mea
surement using an oscilloscope. -Perform a Control Loop Response measureme
nt (Bode gain & phase) using an oscilloscope. -Determine the PWM ramp and
current sense resistance using these measurements. -Construct an accurate
simulation model for optimization and worst-case tolerance assessment. REG
ISTER
X-ALT-DESC;FMTTYPE=text/html:Why this Webcast is Importa
nt: Whether your power supply designs are based on switch m
ode or linear technology\, there are many reasons why you should character
ize the frequency response of your power supply designs. Two reasons are t
o better to understand the stability and noise immunity under various load
change conditions based on an existing design. Another good reason is to
gain the missing information required to create or improve a simulation mo
del which can then be used to simulate operation under different design co
nditions\, including worst-case tolerances. During this webcast\, you will
learn how to do the following:
-Perform a PSRR measurement us
ing an oscilloscope. -Perform a Control Loop Response measurement (B
ode gain &\; phase) using an oscilloscope. -Determine the PWM ram
p and current sense resistance using these measurements. -Construct
an accurate simulation model for optimization and worst-case tolerance ass
essment. REGISTER
CATEGORIES:Education
UID:20170310T1625480Z-511394-1262@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170316T130000
DTEND;TZID=America/Sao_Paulo:20170316T140000
SUMMARY:RF Technology for 5G Radio Base Stations
DESCRIPTION:This webcast will discuss the challenges and opportunities for
innovation in RF technology for the emerging 5G radio. We'll start with an
overview of 5G and the impact on the radio\; then discuss 5G radio for bo
th sub 6Ghz and mm wave frequency ranges. Sub 6 GHz will discuss enabling
the massive MIMO form factor\; mm wave will focus on integration considera
tions for the hybrid beamforming systems and the choices available for the
mm wave radio. During this webcast you will learn: The difference between
5G and 4G Radio trends to achieve the 5G goals How RF technology enables
the 5G radio size\, weight and power requirements Who should attend: Anyon
e interested or working with 5G radio. RF engineers and engineers developi
ng products for the Communications market. REGISTER
X-ALT-DESC;FMTTYPE=text/html:This webcast will discuss the challenges and opportunities for innovat
ion in RF technology for the emerging 5G radio. We'll start with an overvi
ew of 5G and the impact on the radio\; then discuss 5G radio for both sub
6Ghz and mm wave frequency ranges. Sub 6 GHz will discuss enabling the mas
sive MIMO form factor\; mm wave will focus on integration considerations f
or the hybrid beamforming systems and the choices available for the mm wav
e radio. Du
ring this webcast you will learn: - The difference between 5G and 4G
- Radio trends to achieve the
5G goals
- How RF
technology enables the 5G radio size\, weight and power requirements
Who shou
ld attend: Anyone interested or working with 5G radio. RF enginee
rs and engineers developing products for the Communications market. <
p style='font-family: Arial\; font-size: 14px\;'>REGISTER
CATEGORIES:Education
UID:20170310T1629550Z-511394-1263@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170316T160000
DTEND;TZID=America/Sao_Paulo:20170316T170000
SUMMARY:Medium Format Li-ion Battery Applications: Growth in the Middle Cla
ss
DESCRIPTION:Li-ion battery technology first enabled the lap-top market and
soon thereafter\, small\, simple consumer electronics products. There is w
idespread speculation that electric vehicles\, with their large format bat
teries\, will be the wave of the future\, but meanwhile\, “medium format”
battery applications are converting to Li-ion at a rapid pace. These multi
-cell batteries power everything from forklifts to e-bikes to back-up data
storage and have medium current delivery and capacity in common. During t
his live webcast\, industry experts will provide in-depth advice on design
considerations when utilizing medium format batteries\, including: Overvi
ew of the medium format market. These applications include material handli
ng equipment\, battery back-up units\, lawn and garden equipment\, industr
ial cleaners\, aerial scissor lifts\, industrial drones\, golf carts\, and
other motive applications. Comparison of Sealed Lead Acid (SLA) and Inter
nal Combustion Engine (ICE) to Li-ion. Many of these applications are curr
ently using SLA or ICE technology\, and will benefit from the weight\, cyc
le life and maintenance improvements that Li-ion offers. Conversion to Li-
ion and what is required for a drop-in SLA replacement\, including off-the
-shelf solutions available today. Design considerations for medium format
Li-ion batteries. We will examine the new mid-range cell offerings in deta
il and discuss cell balancing and other electronics required for multi-cel
l designs. Li-ion batteries can provide better run-time and higher efficie
ncy in industrial equipment and motive applications. Our presenters will p
rovide valuable information on how best to utilize this technology within
these rapidly changing markets. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Li-ion battery technology first enabled the lap-top market and soon th
ereafter\, small\, simple consumer electronics products. There is widespre
ad speculation that electric vehicles\, with their large format batteries\
, will be the wave of the future\, but meanwhile\, &ldquo\;medium format&r
dquo\; battery applications are converting to Li-ion at a rapid pace. Thes
e multi-cell batteries power everything from forklifts to e-bikes to back-
up data storage and have medium current delivery and capacity in common. D
uring this live webcast\, industry experts will provide in-depth advice on
design considerations when utilizing medium format batteries\, including:
- Overview of
the medium format market. These applications include material handling eq
uipment\, battery back-up units\, lawn and garden equipment\, industrial c
leaners\, aerial scissor lifts\, industrial drones\, golf carts\, and othe
r motive applications.
- Comparison of Sealed Lead Acid (SLA) and Internal Combustion Engine
(ICE) to Li-ion. Many of these applications are currently using SLA or ICE
technology\, and will benefit from the weight\, cycle life and maintenanc
e improvements that Li-ion offers.
- Conversion to Li-ion and what is required for a drop-in
SLA replacement\, including off-the-shelf solutions available today.
- Design considerations
for medium format Li-ion batteries. We will examine the new mid-range cell
offerings in detail and discuss cell balancing and other electronics requ
ired for multi-cell designs.
Li-ion batteries can provide better run-time and higher
efficiency in industrial equipment and motive applications. Our presenters
will provide valuable information on how best to utilize this technology
within these rapidly changing markets. REGISTER
CATEGORIES:Education
UID:20170310T1637120Z-511394-1266@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170315T160000
DTEND;TZID=America/Sao_Paulo:20170315T170000
SUMMARY:Thermal Management Solutions for Directed Energy Applications
DESCRIPTION:Directed Energy is an emerging technology that is being utilize
d in a variety of military and other applications. These high powered devi
ces demand superior thermal management that operates effectively and relia
bly in ever decreasing foot print areas. Not only do these systems need to
maintain a safe operating temperature\, they often demand strict temperat
ure uniformity across the system. This Webinar will: • Describe thermal ma
nagement technologies being used in Directed Energy Applications • Discuss
advanced solutions such as pumped two phase cooling and temporary thermal
storage • Review examples of where these solutions are being deployed
For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Directed Energy is an emerging technology t
hat is being utilized in a variety of military and other application
s.
These high powered devices demand superior thermal managem
ent that operates effectively and reliably in ever decreasing foot print a
reas. Not only do these systems need to maintain a safe operating temperat
ure\, they often demand strict temperature uniformity across the system. <
br /> This Webinar will:
&bull\; | Describe thermal management technologies being used in D
irected Energy Applications | &bull\; | Discuss advanced solutions such
as pumped two phase cooling and temporary thermal storage | &bull\; | Review examples of where these solutions are being deployed
 \;  \; For more information and to register\, click here. |
CATEGORIES:Education
UID:20170310T1646070Z-511394-1269@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170329T160000
DTEND;TZID=America/Sao_Paulo:20170329T170000
SUMMARY:Chauffeurs 2.0: Standardizing the Autonomous Car
DESCRIPTION: Where we’re headed and how to prepare for development and dep
loyment Autonomous driving concepts are on the mind of every major auto ma
nufacturer\, but while advances in sensors\, software\, processing\, conne
ctivity\, and cloud analytics have laid the groundwork for driverless cars
\, the reality is we’re still a considerable distance from mass production
of fully autonomous vehicles. Not only must these disparate technologies
be unified to ensure failsafe operation\, but automakers\, Tier 1 supplier
s\, and government entities must cooperate on implementation frameworks. T
hese processes begin with standardization. This OpenSystems Media E-cast p
robes the state of autonomous vehicle development with leaders from the So
ciety of Automotive Engineers (SAE) and Automotive Grade Linux (AGL)\, pro
viding insight into the roadblocks of and requirements for designing drive
rless vehicles. Technical standards will be addressed and development road
maps revealed as we pursue the next era of transportation – autonomous dri
ve. Speakers: John Tintinalli\, Product Group Director\, Automotive and Co
mmercial Vehicles\, SAE International Dan Cauchy\, General Manager\, Autom
otive (Automotive Grade Linux)\, Linux Foundation Moderator: Brandon Lewi
s\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
| |
Where we&rsquo\;re h
eaded and how to prepare for development and deployment Autonomous
driving concepts are on the mind of every major auto manufacturer\, but w
hile advances in sensors\, software\, processing\, connectivity\, and clou
d analytics have laid the groundwork for driverless cars\, the reality is
we&rsquo\;re still a considerable distance from mass production of fully a
utonomous vehicles. Not only must these disparate technologies be unified
to ensure failsafe operation\, but automakers\, Tier 1 suppliers\, and gov
ernment entities must cooperate on implementation frameworks. These proces
ses begin with standardization. This OpenSystems Media E-cast prob
es the state of autonomous vehicle development with leaders from the Socie
ty of Automotive Engineers (SAE) and Automotive Grade Linux (AGL)\, provid
ing insight into the roadblocks of and requirements for designing driverle
ss vehicles. Technical standards will be addressed and development roadmap
s revealed as we pursue the next era of transportation &ndash\; autonomous
drive. | Speakers: John Tintinalli\, Produ
ct Group Director\, Automotive and Commercial Vehicles\, SAE International
Dan Cauchy\, General Manager\, Automotive (Automotive Grade Linux)\
, Linux Foundation Moderator:&nbs
p\; Brandon Lewis\, OpenSystems Media | REGISTER NOW | |
CATEGORIES:Education
UID:20170310T1648580Z-511394-1270@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171103T160000
DTEND;TZID=America/Sao_Paulo:20171103T170000
SUMMARY:Wireless Connectivity for MCU-based IoT Designs - Day 5
DESCRIPTION:This course will focus on three important wireless IoT connecti
vity methods\; BlueTooth LE\, WiFi and Cellular. A short description of ea
ch technology will be provided\, along with hands-on example implementatio
ns. The Renesas Synergy Platform will be used as the target for the hands-
on implementations and interested students can optionally download the fre
e software\, which includes the popular ThreadX RTOS and associated networ
king stacks. Additionally\, students can optionally purchase a Synergy har
dware kit to test out the hands-on designs used in the course. November 3
– Day 5 – Cellular and More This class will provide descriptions and examp
les of Cellular use in IoT designs. The Renesas Synergy Platform and other
implementations will be described. A short description of other wireless
communication system will also be provided.
X-ALT-DESC;FMTTYPE=text/html:This course w
ill focus on three important wireless IoT connectivity methods\; BlueTooth
LE\, WiFi and Cellular. A short description of each technology will be pr
ovided\, along with hands-on example implementations. The Renesas Synergy Platform will be used as the target
for the hands-on implementations and interested students can optionally do
wnload the free software\, which includes the popular ThreadX RTOS and ass
ociated networking stacks. Additionally\, students can optionally purchase
a Synergy hardware kit to test out the hands-on designs used in the cours
e.
CATEGORIES:Education
UID:20171028T2112380Z-511394-1617@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171030T160000
DTEND;TZID=America/Sao_Paulo:20171030T170000
SUMMARY:Wireless Connectivity for MCU-based IoT Designs - Day 1
DESCRIPTION:This course will focus on three important wireless IoT connecti
vity methods\; BlueTooth LE\, WiFi and Cellular. A short description of ea
ch technology will be provided\, along with hands-on example implementatio
ns. The Renesas Synergy Platform will be used as the target for the hands-
on implementations and interested students can optionally download the fre
e software\, which includes the popular ThreadX RTOS and associated networ
king stacks. Additionally\, students can optionally purchase a Synergy har
dware kit to test out the hands-on designs used in the course. Oober 30 –
Day 1 – An Introduction to Wireless Connectivity for IoT Designs Wireless
connectivity is a defining aspect of IoT applications. This class will cov
er how wireless connectivity is used in these applications and the major d
esign considerations and trade-offs.
X-ALT-DESC;FMTTYPE=text/html:This course w
ill focus on three important wireless IoT connectivity methods\; BlueTooth
LE\, WiFi and Cellular. A short description of each technology will be pr
ovided\, along with hands-on example implementations. The Renesas Synergy Platform will be used as the target
for the hands-on implementations and interested students can optionally do
wnload the free software\, which includes the popular ThreadX RTOS and ass
ociated networking stacks. Additionally\, students can optionally purchase
a Synergy hardware kit to test out the hands-on designs used in the cours
e.
CATEGORIES:Education
UID:20171028T2106590Z-511394-1613@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171031T160000
DTEND;TZID=America/Sao_Paulo:20171031T170000
SUMMARY:Wireless Connectivity for MCU-based IoT Designs - Day 2
DESCRIPTION:This course will focus on three important wireless IoT connecti
vity methods\; BlueTooth LE\, WiFi and Cellular. A short description of ea
ch technology will be provided\, along with hands-on example implementatio
ns. The Renesas Synergy Platform will be used as the target for the hands-
on implementations and interested students can optionally download the fre
e software\, which includes the popular ThreadX RTOS and associated networ
king stacks. Additionally\, students can optionally purchase a Synergy har
dware kit to test out the hands-on designs used in the course. October 31
– Day 2 – The Renesas Synergy Platform This class will provide an introduc
tion to the Renesas Synergy Platform- the target used to provide example d
esigns during the rest of the course. A description of each of the key har
dware\, software and documentation elements of the platform will be provid
ed.
X-ALT-DESC;FMTTYPE=text/html:This course w
ill focus on three important wireless IoT connectivity methods\; BlueTooth
LE\, WiFi and Cellular. A short description of each technology will be pr
ovided\, along with hands-on example implementations. The Renesas Synergy Platform will be used as the target
for the hands-on implementations and interested students can optionally do
wnload the free software\, which includes the popular ThreadX RTOS and ass
ociated networking stacks. Additionally\, students can optionally purchase
a Synergy hardware kit to test out the hands-on designs used in the cours
e.
CATEGORIES:Education
UID:20171028T2108320Z-511394-1614@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171101T160000
DTEND;TZID=America/Sao_Paulo:20171101T170000
SUMMARY:Wireless Connectivity for MCU-based IoT Designs - Day 3
DESCRIPTION:This course will focus on three important wireless IoT connecti
vity methods\; BlueTooth LE\, WiFi and Cellular. A short description of ea
ch technology will be provided\, along with hands-on example implementatio
ns. The Renesas Synergy Platform will be used as the target for the hands-
on implementations and interested students can optionally download the fre
e software\, which includes the popular ThreadX RTOS and associated networ
king stacks. Additionally\, students can optionally purchase a Synergy har
dware kit to test out the hands-on designs used in the course. November 1
– Day 3 – BlueTooth This class will provide descriptions and examples of B
lueTooth use in IoT designs. The Renesas Synergy Platform and other implem
entations will be described.
X-ALT-DESC;FMTTYPE=text/html:This course w
ill focus on three important wireless IoT connectivity methods\; BlueTooth
LE\, WiFi and Cellular. A short description of each technology will be pr
ovided\, along with hands-on example implementations. The Renesas Synergy Platform will be used as the target
for the hands-on implementations and interested students can optionally do
wnload the free software\, which includes the popular ThreadX RTOS and ass
ociated networking stacks. Additionally\, students can optionally purchase
a Synergy hardware kit to test out the hands-on designs used in the cours
e.
CATEGORIES:Education
UID:20171028T2110020Z-511394-1615@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171108T130000
DTEND;TZID=America/Sao_Paulo:20171108T140000
SUMMARY:3D Imaging - Discover the current and future methods and applicatio
ns
DESCRIPTION:In a November 8 webcast from Daniel Lau\, Professor of Electric
al and Computer Engineering at the University of Kentucky\, attendees will
learn all about these different methods of 3D imaging\, in terms of both
hardware and software\, and which is most appropriate for a given machine
vision or image processing application. REGISTER HERE! • Various methods
of 3D imaging • Scientists\, engineers\, designers\, and managers • Hardw
are and software options • Examples of 3D imaging products
X-ALT-DESC;FMTTYPE=text/html:In
a November 8 webcast from Daniel Lau\, Professor of Electrical and Compute
r Engineering at the University of Kentucky\, attendees will learn all abo
ut these different methods of 3D imaging\, in terms of both hardware and s
oftware\, and which is most appropriate for a given machine vision or imag
e processing application.
 \; | |
&bull\; | Various methods of 3D imaging | &b
ull\; | Scientists\, en
gineers\, designers\, and managers | &bu
ll\; | Hardware and sof
tware options | &bull\; | Examples of 3D imaging products |
td> |  \;
CATEGORIES:Education
UID:20171028T2144010Z-511394-1618@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171108T140000
DTEND;TZID=America/Sao_Paulo:20171108T150000
SUMMARY:REVOLUTION IN IDENTITY DOCUMENT SECURITY AND DURABILITY – MOB10
DESCRIPTION:NXP introduces the world´s thinnest contactless chip module MOB
10 which is ideally suited for use in inlays for passport data pages and i
dentity cards. Measuring just 200µm\, the MOB10 is the first ultra-thin co
ntactless module available in high volume\, and supports polycarbonate tec
hnology and new security features\, yet is compatible with the existing pr
oduction and infrastructure. 9 AM & 5 PM CET | Register »
X-ALT-DESC;FMTTYPE=text/html:NXP introduces the world
´\;s thinnest contactless chip module MOB10 which is ideally suited f
or use in inlays for passport data pages and identity cards. Measuring jus
t 200µ\;m\, the MOB10 is the first ultra-thin contactless module avai
lable in high volume\, and supports polycarbonate technology and new secur
ity features\, yet is compatible with the existing production and infrastr
ucture. <
tr>  \;<
/td> |  \;
|  \; |
 \;
CATEGORIES:Education
UID:20171028T2149260Z-511394-1619@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171031T120000
DTEND;TZID=America/Sao_Paulo:20171031T130000
SUMMARY:Vision System Designer's Dilemma - Build vs Buy
DESCRIPTION:This talk will open a discussion on the benefits and pitfalls o
f creating your own camera design. It will help one choose when it makes s
ense to purchase a camera from an experienced camera manufacturer and when
they should take on the design themselves. What You'll Learn: • Expanding
reach of vision products • Components of a typical Vision System • CMOS v
s. CCD • The Vision System Pillars Model • Owing your Vision System REGI
STER HERE!
X-ALT-DESC;FMTTYPE=text/html:This talk will open a discussion on the benefits and pitfalls of crea
ting your own camera design. It will help one choose when it makes sense t
o purchase a camera from an experienced camera manufacturer and when they
should take on the design themselves.
&bull\; | Expanding re
ach of vision products | &bull\; | <
td style='padding-bottom: 3px\;' valign='top'>Components of a typical Visi
on System &bull\; | CMOS vs. CCD | &bull\; | The Vision System Pillars Model | &bull\; | Owing your
Vision System |  \;
REGISTER HERE!
CATEGORIES:Education
UID:20171028T2152180Z-511394-1620@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171116T160000
DTEND;TZID=America/Sao_Paulo:20171116T170000
SUMMARY:Painlessly Add a Graphic HMI
DESCRIPTION:Adding a modern graphic/touch Human Machine Interface (HMI) to
your industrial\, commercial\, or medical product can be a simple\, yet ex
tremely effective upgrade. Avoid the myriad pitfalls in this complex endea
vor\, including the supply chain challenges\, technology selection\, longe
vity concerns\, scalability\, and cost of deployment and maintenance of th
e hardware and software. Co-presented by industry experts\, Todd Bolanz (C
EO\, LXD Research & Display) and Terry West (CEO\, Serious Integrated)\, t
his webinar will give you the information and tools you need to evaluate a
nd select your LCD and touch technology as well as the platform hardware a
nd software technology you’ll need to drive it. Speakers: Terry West\, C
EO\, Serious Integrated Todd Bolanz CEO\, LXD Research & Display Moderator
: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Adding a modern graphic/touch Human Machine Interfac
e (HMI) to your industrial\, commercial\, or medical product can be a simp
le\, yet extremely effective upgrade. Avoid the myriad pitfalls in this co
mplex endeavor\, including the supply chain challenges\, technology select
ion\, longevity concerns\, scalability\, and cost of deployment and mainte
nance of the hardware and software. Co-presented by industry exper
ts\, Todd Bolanz (CEO\, LXD Research &\; Display) and Terry West (CEO\,
Serious Integrated)\, this webinar will give you the information and tool
s you need to evaluate and select your LCD and touch technology as well as
the platform hardware and software technology you&rsquo\;ll need to drive
it.  \; | <
td style='font-size: 14px\; line-height: 20px\; background-color: #fff\; p
adding: 20px\;' colspan='2'> Speakers
: Terry West\, CEO\, Serious Integrated Todd Bolanz C
EO\, LXD Research &\; Display
Moderator: \; Brandon Lewis\, OpenSystems Media
td> |
CATEGORIES:Education
UID:20171028T2154180Z-511394-1621@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171101T130000
DTEND;TZID=America/Sao_Paulo:20171101T140000
SUMMARY:Healthcare IIoT: Ideas are Easy. Execution is Hard.
DESCRIPTION:With each passing day\, we find a new market or application whe
re the Industrial Internet of Things (IIoT)\, Artificial Intelligence (AI)
and Machine Learning (ML) are going to redefine life as we know it – from
our methods of transportation\, to how we purchase goods\, to the way ene
rgy is transmitted and managed. However\, none of these applications will
have as profound of an impact on the human race as the application of IIoT
and AI/ML to Healthcare. We live in a world where medical errors are the
third leading cause of death behind cancer and cardiac disease\, leading t
o more than 200K preventable deaths every year. We have an aging populatio
n growing at an unprecedented rate\, and on top of all of this\, healthcar
e costs are increasing\, driven largely by the adoption of new technology.
But why is this? Please join RTI’s Healthcare market development director
\, David Niewolny\, as he discusses the current state of the Healthcare in
dustry and the impact of advancing technology. In this live webinar\, atte
ndees will learn about the technical challenges of developing a fully netw
orked\, interoperable and secure healthcare system – including connectivit
y\, standardization\, and scalability. This webinar will also cover techni
cal solutions and how the healthcare industry can pave the best path forwa
rd. Speakers: David Niewolny\, Director\, Healthcare Market\, Real-Time
Innovations\, Inc. (RTI) Moderator: Brandon Lewis\, OpenSystems Media REG
ISTER NOW
X-ALT-DESC;FMTTYPE=text/html: With each passing day
\, we find a new market or application where the Industrial Internet of Th
ings (IIoT)\, Artificial Intelligence (AI) and Machine Learning (ML) are g
oing to redefine life as we know it &ndash\; from our methods of transport
ation\, to how we purchase goods\, to the way energy is transmitted and ma
naged. However\, none of these applications will have as profound of an im
pact on the human race as the application of IIoT and AI/ML to Healthcare.
We live in a world where medical errors are the third leading cau
se of death behind cancer and cardiac disease\, leading to more than 200K
preventable deaths every year. We have an aging population growing at an u
nprecedented rate\, and on top of all of this\, healthcare costs are incre
asing\, driven largely by the adoption of new technology. But why is this?
Please join RTI&rsquo\;s Healthcare market development director\,
David Niewolny\, as he discusses the current state of the Healthcare indu
stry and the impact of advancing technology. In this live webinar\, attend
ees will learn about the technical challenges of developing a fully networ
ked\, interoperable and secure healthcare system &ndash\; including connec
tivity\, standardization\, and scalability. This webinar will also cover t
echnical solutions and how the healthcare industry can pave the best path
forward.  \; |
Speake
rs: David Niewolny\, Director\, Healthcare Market\, Real-Ti
me Innovations\, Inc. (RTI) Moder
ator: \; Brandon Lewis\, OpenSystems Media |
CATEGORIES:Education
UID:20171028T2200160Z-511394-1623@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170222T160000
DTEND;TZID=America/Sao_Paulo:20170222T170000
SUMMARY:Learn how to jump-start your next IoT design with a development pl
atform and 13 degrees of freedom
DESCRIPTION: This message contains graphics. If you do not see the graphic
s\, click here to view STMicroelectronics is pleased to invite you to our
webinar: Discover the SensorTile\, a tiny Bluetooth multi-sensor module
Learn how to jump-start your n
ext IoT design with a development platform and 13 degrees of freedom This
1-hour webinar will show you how to simplify the integration of sensors\,
low-energy Bluetooth Smart connectivity\, a low-power microcontroller and
sensor fusion libraries into your next IoT design using the SensorTile dev
elopment kit (STEVAL-STLKT01V1). Join us Wednesday\, February 22 at 12 n
oon CST You will learn: How to quickly develop a Bluetooth Smart sensor
node prototype with the SensorTile development kit and the comprehensive S
TM32 Open Development Environment. How to create a Bluetooth Smart sensor
datalogger using the SensorTile board and its companion BlueMS mobile app
for smartphones and tablets (Android and iOS) How to easily integrate sens
or fusion and context awareness algorithms into your sensor node design us
ing our Open Software Expansion development suite How to upgrade firmware
over the air (OTA) using Bluetooth Smart and a mobile app How to add autom
atic speech recognition (ASR) to your application using the SensorTile and
cloud-based ASR services Application engineers will be available to ans
wer your questions directly online. Click HERE TO REGISTER for our webinar
NOW.
X-ALT-DESC;FMTTYPE=text/html:  \
; | This message contains graphics. If you
do not see the graphics\, click here to view |
table> STMicroelectronics i
s pleased to invite you to our webinar:  \; Discover the SensorTile\, a tiny B
luetooth multi-sensor module  \;  \; \
; \; \; \; \; \; \; \; \; \; \;&nb
sp\; \; \; \; \; \; \; \; \; \; \;
 \; \; \; \; \; \; \; \; \; \;&nbs
p\; \; \; \; \; \; \; \; Learn how to jump-start your next IoT design with a <
strong>development platform and 13 degrees of freedom Thi
s 1-hour webinar will show you how to simplify the integration of sensors\
, low-energy Bluetooth Smart connectivity\, a low-power microcontroller an
d sensor fusion libraries into your next IoT design using the SensorTile d
evelopment kit (STEVAL-STLKT01V1).  \; Join us
Wednesday\, February 22 at 12 noon CST  \;
strong> You will learn: - How to qui
ckly develop a Bluetooth Smart sensor node prototype with the SensorTile d
evelopment kit and the comprehensive STM32 Open Development Environment.
li>
- How to create a Bluetooth Smart sensor datalogger using the Senso
rTile board and its companion BlueMS mobile app for smartphones and tablet
s (Android and iOS)
- How to easily integrate sensor fusion and co
ntext awareness algorithms into your sensor node design using our Open Sof
tware Expansion development suite
- How to upgrade firmware over t
he air (OTA) using Bluetooth Smart and a mobile app
- How to add a
utomatic speech recognition (ASR) to your application using the SensorTile
and cloud-based ASR services
 \; Application
engineers will be available to answer your questions directly online.
Click HERE TO REGISTER for our webinar NOW. |
 \;
CATEGORIES:Education
UID:20170214T1805030Z-511394-1212@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180223T100000
DTEND;TZID=America/Sao_Paulo:20180223T110000
SUMMARY:Getting Started with Yocto: Meeting the challenge of Embedded Linux
deployment
DESCRIPTION: Get your free registrations for Doulos webinar 'Getting Start
ed with Yocto: Meeting the challenge of Embedded Linux deployment'. Doulos
brings forth an interesting training webinar\, which delves into how a mi
nimal Linux system can be extended to include custom\, packaged software.
Doulos demonstrates how standard Linux tools\, such as gdbserver or the Ta
rget Communication Framework agent (TCF agent)\, drops out of the build sy
stem and can be used in a stand-alone SDK. In the process\, key concepts o
f the Yocto Project build system such as recipes\, tasks and layers will b
e introduced. A board from the Toradex Colibri ARM family of SoMs will be
used as an example platform. The webinar covers the following topics: Mana
ged Linux distributions The Yocto build system Creating custom software pa
ckages Application development and systems development SDKs Example BSP su
pport REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; Get your free registrations
for Doulos webinar 'Getting Started with Yo
cto: Meeting the challenge of Embedded Linux deployment'. Doulos brings forth a
n interesting training webinar\, which delves into how a minimal Linux sys
tem can be extended to include custom\, packaged software. Doulos demonstr
ates how standard Linux tools\, such as gdbserver or the Target Communicat
ion Framework agent (TCF agent)\, drops out of the build system and can be
used in a stand-alone SDK. In the process\, key concepts of the Yocto Pro
ject build system such as recipes\, tasks and layers will be introduced. A
board from the Toradex Colibri ARM family of SoMs will be used as an exam
ple platform. The webinar covers the following topics:
- Managed Linux distributions
- The Yocto build system
- Creating custom software packages
- Application development and systems development SDKs
-
Example BSP support
 \;REGISTER
CATEGORIES:Education
UID:20180221T1305100Z-511394-1712@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170912T120000
DTEND;TZID=America/Sao_Paulo:20170912T130000
SUMMARY: Wired for Success: Advanced Materials for Next-Gen Medical Devices
DESCRIPTION:Advances in material science enable engineers to develop better
solutions to design challenges\, and Fort Wayne Metals has long been on t
he forefront on developing improved materials. In this Webinar\, you will
learn about: Wire that comes off the spool straight and is used in applica
tions ranging from staples to interventional guidewires\, Wire with a prec
isely controlled helical micro-groove\, Wire that is absorbed by the human
body and harmlessly disappears over time. Some of these materials are com
mercially available while others are still under development. All of them
have the potential to change the way you design your devices. For more inf
ormation and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:Advances in material science enable enginee
rs to develop better solutions to design challenges\, and Fort Wayne Metal
s has long been on the forefront on developing improved materials. In this Webinar\, you will learn about: - Wire that comes
off the spool straight and is used in applications ranging from staples t
o interventional guidewires\,
- Wire with a precisely controlled h
elical micro-groove\,
- Wire that is absorbed by the human body an
d harmlessly disappears over time.
Some of these mat
erials are commercially available while others are still under development
. All of them have the potential to change the way you design your devices
. For more information and to register\, click here.
CATEGORIES:Education
UID:20170812T2244420Z-511394-1501@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170906T150000
DTEND;TZID=America/Sao_Paulo:20170906T160000
SUMMARY:Characterization for Research: Improving Speed and Accuracy
DESCRIPTION:New materials and devices provide constant challenges to resear
chers as they aim to achieve the most accurate measurements possible and e
xtract relevant parameters without wasting time. Researchers need to know
both how to find new parameters quickly and how to improve the quality of
current tests to meet constantly shrinking specifications. This webinar wi
ll discuss how to enhance results in DC I-V (current-voltage)\, C-V (capac
itance-voltage)\, and pulsed measurements through real world examples. Att
endees with learn about: Enhancements to Very Low Current Measurements Imp
roving Capacitance-Voltage (CV) Results The Advantage of Ultra-Fast Pulses
in Measurements New Characterization and Research Solutions from Tektroni
x and Keithley For more information and to register\, click here.
X-ALT-DESC;FMTTYPE=text/html:New materials and devices provide constant
challenges to researchers as they aim to achieve the most accurate measure
ments possible and extract relevant parameters without wasting time. Resea
rchers need to know both how to find new parameters quickly and how to imp
rove the quality of current tests to meet constantly shrinking specificati
ons.
This webinar will discuss how to enhance results in DC I-V
(current-voltage)\, C-V (capacitance-voltage)\, and pulsed measurements t
hrough real world examples.
Attendees with learn about: - Enhancements to Very Low Current Measurements
- Improving
Capacitance-Voltage (CV) Results
- The Advantage of Ultra-Fast Pul
ses in Measurements
- New Characterization and Research Solutions
from Tektronix and Keithley
 \; For more information a
nd to register\, click here.
CATEGORIES:Education
UID:20170812T2333230Z-511394-1503@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170829T150000
DTEND;TZID=America/Sao_Paulo:20170829T160000
SUMMARY:Overview of Accelerated and Real Time Aging’s Role in Package Valid
ation
DESCRIPTION:Westpak’s test professionals offer a short webinar focused on A
ccelerated and Real Time Aging\, including how the technique is used in va
lidating protective package systems. The presentation reviews the package
systems tested\, test equipment utilized\, how Accelerated Aging and Real
Time Aging complement one another\, sample preparation tips\, plus advice
from the experts on how to ensure a successful test. What's Covered: Test
Equipment Overview Accelerated Aging's Relationship with Real Time Aging T
ypes of Package Systems Tested Effect of High Temperatures on Materials Re
lationship of Time vs. Temperature This Webinar will provide: Understandin
g of Accelerated Aging and Real Time Aging Accelerated Aging Test Case Stu
dy Sample Preparation Tips For more information and to register\, click he
re.
X-ALT-DESC;FMTTYPE=text/html:Westpak&rsquo\;s test professionals offer a
short webinar focused on Accelerated and Real Time Aging\, including how
the technique is used in validating protective package systems. The presen
tation reviews the package systems tested\, test equipment utilized\, how
Accelerated Aging and Real Time Aging complement one another\, sample prep
aration tips\, plus advice from the experts on how to ensure a successful
test.
What's Covered: - Test Equipment Overview Ac
celerated
- Aging's Relationship with Real Time Aging
- Ty
pes of Package Systems Tested
- Effect of High Temperatures on Mat
erials
- Relationship of Time vs. Temperature
This
 \;Webinar will provide: - Understanding of Accelerated A
ging and Real Time Aging
- Accelerated Aging Test Case Study
- Sample Preparation Tips
For more information and to r
egister\, click here.
CATEGORIES:Education
UID:20170812T2335280Z-511394-1504@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170823T150000
DTEND;TZID=America/Sao_Paulo:20170823T160000
SUMMARY:Multi-core Safety Certification Demystified
DESCRIPTION:This presentation will provide guidance to service providers on
the issues that must be addressed in order to understand the potential be
nefits and certification limitations of multi-core solutions. What You'l
l Learn: Building a low-risk certification strategy Using affordable\, C
OTS software foundations Integrating CAST-32A guidelines Leveraging COTS R
TCA DO-178C DAL A certification evidence with the Wind River VxWorks 653 R
TOS
X-ALT-DESC;FMTTYPE=text/html:This presentat
ion will provide guidance to service providers on the issues that must be
addressed in order to understand the potential benefits and certification
limitations of multi-core solutions.  \; What You'll Learn:  \; - Building a low-risk cer
tification strategy
- Using affordable\, COTS software foundations
- Integrating CAS
T-32A guidelines
- Leveraging COTS RTCA DO-178C DAL A certification evidence wi
th the Wind River VxWorks 653 RTOS
<
/p>
 \;
CATEGORIES:Education
UID:20170812T2341390Z-511394-1505@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170919T150000
DTEND;TZID=America/Sao_Paulo:20170919T160000
SUMMARY:COTS CPU\, FPGA\, and I/O Solutions for Real-Time Simulation and Te
sting
DESCRIPTION: REGISTER NOW In this webinar\, Sam Mirsky\, Application Eng
ineer at MathWorks\, will discuss why and how to perform real-time simulat
ion and testing\, enabling you to rapidly and continuously test and prove
your designs\, from desktop simulation to testing your designs in real tim
e on hardware platforms. You will get an overview of rapid control prototy
ping (RCP) and hardware-in-the-Loop (HIL) simulation technology and its us
age within various industries including aerospace & defense\, automotive\,
medical devices and industrial automation. The webinar will have the foll
owing parts Why perform real-time simulation and testing for your mission
critical projects and an overview of RCP and HIL simulation? Case studies
and examples on how to shorten time-to-market and foster your competitive
edge through continuous innovation and testing How to build\, run\, and te
st real-time applications created from Simulink on x86 target computers an
d FPGAs\, connected to your hardware MathWorks and Speedgoat hardware solu
tion for performing real-time simulation and testing
X-ALT-DESC;FMTTYPE=text/html: <
td id='m_4715203580188188617headline' style='color: #005294\; width: 410px
\; text-align: center\; font-size: 28px\; font-family: Helvetica\,Arial\,s
ans-serif\; padding: 20px\;' colspan='2'> \; | &n
bsp\;
In this webinar\, Sam Mirsky\, Application Engineer at
MathWorks\, will discuss why and how to perform real-time simulation and t
esting\, enabling you to rapidly and continuously test and prove your desi
gns\, from desktop simulation to testing your designs in real time on hard
ware platforms. You will get an overview of rapid control prototyp
ing (RCP) and hardware-in-the-Loop (HIL) simulation technology and its usa
ge within various industries including aerospace &\; defense\, automoti
ve\, medical devices and industrial automation. The webinar will h
ave the following parts - Why perform real-time simulation an
d testing for your mission critical projects and an overview of RCP and HI
L simulation?
- Case studies and examples on how to shorten time-t
o-market and foster your competitive edge through continuous innovation an
d testing
- How to build\, run\, and test real-time applications c
reated from Simulink on x86 target computers and FPGAs\, connected to your
hardware
- MathWorks and Speedgoat hardware solution for performi
ng real-time simulation and testing
CATEGORIES:Education
UID:20170812T2345060Z-511394-1506@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170928T150000
DTEND;TZID=America/Sao_Paulo:20170928T160000
SUMMARY:Enabling Multifunctional Electronic Warfare Systems
DESCRIPTION: REGISTER NOW Traditionally the electronic warfare (EW) mark
et has been flavored with customized systems and stovepipe technology base
d on closed architectures. Yet as adversary's threats become more sophisti
cated\, the pressure has mounted on designers to create multifunctional EW
systems that can adapt and respond effectively in real time\, marrying fu
nctions such as signals intelligence (SIGINT)\, electronic intelligence (E
LINT) and even radar functions in one box. This e-cast of industry experts
will discuss how modern RF and signal processing components are enabling
multifunctional EW capability.
X-ALT-DESC;FMTTYPE=text/html:
 \; |
|  \;
REGISTER NOW | Traditionally th
e electronic warfare (EW) market has been flavored with customized systems
and stovepipe technology based on closed architectures. Yet as adversary'
s threats become more sophisticated\, the pressure has mounted on designer
s to create multifunctional EW systems that can adapt and respond effectiv
ely in real time\, marrying functions such as signals intelligence (SIGINT
)\, electronic intelligence (ELINT) and even radar functions in one box.
p> This e-cast of industry experts will discuss how modern RF and sign
al processing components are enabling multifunctional EW capability.
CATEGORIES:Education
UID:20170812T2347070Z-511394-1507@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180328T120000
DTEND;TZID=America/Sao_Paulo:20180328T130000
SUMMARY:Embedded Vision at the Edge and in the Cloud: Architectures\, Algor
ithms\, Processors\, and Tools
DESCRIPTION:From industrial applications like bottling lines to video camer
as that can recognize people to vision-enabled home assistants that can ad
vise you on your fashion choices\, vision is showing up everywhere. A key
architectural choice underlies this ubiquity: should vision processing be
done at the edge\, in the cloud\, or a hybrid combination of the two? In a
free presentation on March 28\, Jeff Bier\, Founder of the Embedded Visio
n Alliance\, will discuss the benefits and trade-offs of edge\, cloud\, an
d hybrid models\, and when you should consider each option. What You'll Le
arn: • The trade-offs between edge\, cloud\, and hybrid vision processing
• Significant recent developments in vision • The latest processors\, sens
ors\, algorithms\, tools • The latest applications incorporating vision •
Trends driving future applications of vision REGISTER NOW!
X-ALT-DESC;FMTTYPE=text/html:From industrial applications like bottling
lines to video cameras that can recognize people to vision-enabled home as
sistants that can advise you on your fashion choices\, vision is showing u
p everywhere. A key architectural choice underlies this ubiquity: sh
ould vision processing be done at the edge\, in the cloud\, or a hybrid co
mbination of the two? In a free presentation on March 28\, Jeff Bier\, Fou
nder of the Embedded Vision Alliance\, will discuss the benefits and trade
-offs of edge\, cloud\, and hybrid models\, and when you should consider e
ach option. What You'll Learn: <
table style='margin: 10px 20px 8px 20px\; font-size: 14px\; color: #666\;
text-decoration: none\; line-height: 22px\; -webkit-text-size-adjust: none
\;'> | &bull\; | The trade-offs between edge\, cloud\, and hybrid v
ision processing | &bul
l\; | Significant recent developmen
ts in vision | &bull\;<
/p> | The latest processors\, sensors\,
algorithms\, tools |
&
bull\; | The latest applications in
corporating vision | <
p style='color: #1a1a1a\; margin: 5px 0\; font-size: 14px\; text-align: le
ft\; font-family: Helvetica\, Arial\, sans-serif\; line-height: 20px\;'>&b
ull\; | Trends driving future appli
cations of vision |  \; REGISTER NOW!
CATEGORIES:Education
UID:20180321T1830320Z-511394-1733@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180321T150000
DTEND;TZID=America/Sao_Paulo:20180321T160000
SUMMARY:Probing in Power Electronics Webinar - What to Use and Why
DESCRIPTION:bstract: Power electronics designs inherently have high voltage
signal levels and signals 'floating' on top of high voltages. This has le
d to developments of many specialized high voltage single-ended and differ
ential probes and amplifiers to meet the specific needs of this market. Ho
wever\, the selection of the right probe topology and type is critical not
only to operator\, equipment and DUT safety\, but also has a large influe
nce on the accuracy of the measurement. Join Teledyne LeCroy for this we
binar as we provide an overview of the different HV rated probe specificat
ions and topologies\, explain what measurement each probe topology is idea
lly suited for\, and provide real-word examples and comparisons between a
variety of different probes and amplifiers. Cost: Free\, register here
X-ALT-DESC;FMTTYPE=text/html:bstract:Power electronics designs inherently have high voltage signal levels a
nd signals 'floating' on top of high voltages. This has led to development
s of many specialized high voltage single-ended and differential probes an
d amplifiers to meet the specific needs of this market. However\, the sele
ction of the right probe topology and type is critical not only to operato
r\, equipment and DUT safety\, but also has a large influence on the accur
acy of the measurement.  \; Join Teledyne LeCroy for this we
binar as we provide an overview of the different HV rated probe specificat
ions and topologies\, explain what measurement each probe topology is idea
lly suited for\, and provide real-word examples and comparisons between a
variety of different probes and amplifiers.
Cost: Free\, register here
CATEGORIES:Education
UID:20180321T1834590Z-511394-1734@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180327T150000
DTEND;TZID=America/Sao_Paulo:20180327T160000
SUMMARY:Aerospace IoT-based Health and Usage Monitoring Systems
DESCRIPTION:What does a Coke machine from Carnegie Mellon and turbine engin
es have in common? Both have IoT-based Health and Usage Monitoring Systems
for Maintenance\, Life Extension\, and Reliability. In this Webinar\, Uni
ted Electronic Industries (UEI) experts will discuss our experiences desig
ning and deploying these monitoring systems in an Aerospace setting. You w
ill see how edge hardware coupled with a cloud infrastructure and advanced
analytics can monitor your high value assets. What You'll Learn: • How ed
ge hardware coupled with a cloud infrastructure and advanced analytics can
monitor your high value assets. Who Should Attend: • Program Engineers •
Systems Engineers • Systems/Platform Integrators • Procurement Managers •
Prime Defense Contractors Register now>>
X-ALT-DESC;FMTTYPE=text/html:What does a Coke machine from Carnegie Mellon and turbine engines h
ave in common? Both have IoT-based Health and Usage Monitoring Systems for
Maintenance\, Life Extension\, and Reliability. In this Webinar\, United
Electronic Industries (UEI) experts will discuss our experiences designing
and deploying these monitoring systems in an Aerospace setting. You will
see how edge hardware coupled with a cloud infrastructure and advanced ana
lytics can monitor your high value assets.
&bull\; | How edge hardware coupled with a
cloud infrastructure and advanced analytics can monitor your high value a
ssets. |
&bull\; | Program Engineers | &bull\; | Systems Engineers | &bull\; | Systems/Platform Integrators | &bull\; | Procurement Managers | &bull\; | Prime Defe
nse Contractors |  \;Register now>\;>\;
CATEGORIES:Education
UID:20180321T1836210Z-511394-1735@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180320T130000
DTEND;TZID=America/Sao_Paulo:20180320T140000
SUMMARY:Panel Discussion: How to Select the Right Power and Signal Connecto
rs
DESCRIPTION: From the data center to transportation\, designers are challe
nged to ensure both power and signal integrity at higher power and data ra
tes\, in increasingly rugged and dense environments. This requires designe
rs to take a holistic view of their system design long before they make th
eir connectivity selection\, while also ensuring that the final connector
and cabling choice meets the power\, signal\, safety\, and reliability req
uirements now – and for the products’ complete lifecycle. Join this 1-ho
ur webinar with industry experts to get the latest insight on power and si
gnal trends and connectivity requirements\, standards\, and regulations\,
and what to do to ensure your connections outlast your design. Can’t att
end the event live? Register at right and we’ll send you a link to view t
he webinar at your convenience. REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; From the data center to tra
nsportation\, designers are challenged to ensure both power and signal int
egrity at higher power and data rates\, in increasingly rugged and dense e
nvironments. This requires designers to take a holistic view of their syst
em design long before they make their connectivity selection\, while also
ensuring that the final connector and cabling choice meets the power\, sig
nal\, safety\, and reliability requirements now &ndash\; and for the produ
cts&rsquo\; complete lifecycle.  \; Join this 1-hour w
ebinar with industry experts to get the latest insight on power and signal
trends and connectivity requirements\, standards\, and regulations\, and
what to do to ensure your connections outlast your design.  \;
Can&rsquo\;t attend the event live? \; Register at right and
we&rsquo\;ll send you a link to view the webinar at your convenience.
 \; REGISTER
strong>
CATEGORIES:Education
UID:20180321T1838540Z-511394-1736@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180412T110000
DTEND;TZID=America/Sao_Paulo:20180412T120000
SUMMARY:SOLUÇÕES PARA SALAS DE CONTROLE
DESCRIPTION:SAIBA MAIS & REGISTRE-SE WEBINAR AO VIVO: SOLUÇÕES PARA SAL
AS DE CONTROLE Data: 12 de abril de 2018 Hora: 11:00 da manhã (GMT-3) Dura
ção: 1 hora (incluindo Q & A) Apresentador: Gabriel Viana\, Especialista d
e Produtos & Soluções Junte-se a nós\, quinta-feira\, 12 de abril de 2018
às 11:00 da manhã (GMT-3) para conhecer as soluções de salas de controle.
A medida que exploramos como as soluções de gerenciamento e controle de Vi
deo Walls podem ajudar a tornar a vida dos operadores de salas de controle
mais fáceis\, e\, portanto\, minimizar o tempo de reação. Este webinar fo
rnece uma visão geral de: Distribuição de sinal KVM e tecnologia de gerenc
iamento de AV sobre IP Benefícios das soluções KVM IP e diretamente conect
adas Tipos de Video Walls Controle intuitivo do operador através de telas
sensíveis ao toque Exemplos de aplicação e melhores práticas
X-ALT-DESC;FMTTYPE=text/html:  \; | WEBINAR AO
VIVO: SOLUÇ\;Õ\;ES PARA SALAS DE CONTROLE | Data: 12 de
abril de 2018 Hora: 11:00 da manhã\; (GMT-3)Duraç\;ã\;o: 1 hora (incluindo Q &\; A
) Apresentador: Gabriel Viana\, Especialista de Produtos &\; Soluç\;õ\;es Junte-se a n&oac
ute\;s\, quinta-feira\, 12 de abril de 2018 à\;s 11:00 da manh&atild
e\; (GMT-3) para conhecer as soluç\;õ\;es de salas de controle
. A medida que exploramos como as soluç\;õ\;es de gerenciament
o e controle de Video Walls podem ajudar a tornar a vida dos operadores de
salas de controle mais fá\;ceis\, e\, portanto\, minimizar o tempo
de reaç\;ã\;o. Este webinar fornece um
a visã\;o geral de: - Distribuiç\;&atild
e\;o de sinal KVM e tecnologia de gerenciamento de AV sobre IP
- B
enefí\;cios das soluç\;õ\;es KVM IP e diretamente conect
adas
- Tipos de Video Walls
- Controle intuitivo do operad
or atravé\;s de telas sensí\;veis ao toque
- Exemplos
de aplicaç\;ã\;o e melhores prá\;ticas
|  \; |
 \;
CATEGORIES:Education
UID:20180321T1839570Z-511394-1737@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180327T140000
DTEND;TZID=America/Sao_Paulo:20180327T150000
SUMMARY:How to Get Started with Software Development for NXP’s S32 Automoti
ve Platform
DESCRIPTION: Click HERE to find the start time at your location To address
the need for green\, safe\, secure and connected cars\, automotive compan
ies are relying more and more on electronics. The next-generation automoti
ve electronic systems not only require SoCs delivering more performance an
d power efficiency\, they will also depend on ever-increasing software con
tent that needs to be developed\, integrated and tested early and efficien
tly. Today software development can start before silicon availability and
system hardware availability using VDKs\, which are a fast simulation of t
he SoC or the system hardware. In addition\, these VDKs can be used for co
ntinuous integration and test. The NXP S32 automotive processing platform
is the world's first fully scalable automotive computing architecture\, ad
dressing the challenges of future car development and allowing carmakers t
o bring rich in-vehicle experiences and automated driving functions to mar
ket much faster. This 30-minute Webinar discusses how Synopsys VDKs for th
e NXP S32 automotive processing platform can be deployed today\, enabling
software development\, integration and test to start well before silicon a
nd hardware availability.
REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; Click HERE to fin
d the start time at your location To address the n
eed for green\, safe\, secure and connected cars\, automotive companies ar
e relying more and more on electronics. The next-generation automotive ele
ctronic systems not only require SoCs delivering more performance and powe
r efficiency\, they will also depend on ever-increasing software content t
hat needs to be developed\, integrated and tested early and efficiently.
span>
Today software development can start before
silicon availability and system hardware availability using VDKs\, which a
re a fast simulation of the SoC or the system hardware. In addition\, thes
e VDKs can be used for continuous integration and test.
The NXP S32 automotive processing platform is the world's fir
st fully scalable automotive computing architecture\, addressing the chall
enges of future car development and allowing carmakers to bring rich in-ve
hicle experiences and automated driving functions to market much faster.
span>
This 30-minute Webinar discusses how Synopsy
s VDKs for the NXP S32 automotive processing platform can be deployed toda
y\, enabling software development\, integration and test to start well bef
ore silicon and hardware availability.  \;
 \;  \;  \; &nbs
p\;  \;  \;  \;  \;  \;  \;  \;  \;  \
;  \;  \;  \;  \;  \;  \;  \;  \;  \;
 \;  \;  \;  \;  \;  \;
CATEGORIES:Education
UID:20180321T1842150Z-511394-1738@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180403T130000
DTEND;TZID=America/Sao_Paulo:20180403T140000
SUMMARY:The Power of Energy Monitoring in Industry 4.0
DESCRIPTION:Energy is an essential component in the operations of any busin
ess\, and even more so within industrial markets. Did you know that energy
monitoring isn’t just about metering power consumption? While a lot of va
lue can be drawn from understanding power usage\, the act of energy monito
ring can be applied to an incredible range of Industry 4.0 applications. L
earn more about how HARTING MICA and their network of partners can deliver
unparalleled energy monitoring solutions. Attendees will learn: Typical c
omponents of Industry 4.0 energy monitoring solutions Overview and benefit
s of Industry 4.0 energy monitoring applications Uptime/downtime Power met
ering Power quality monitoring Predictive maintenance Output quality analy
sis Working with HARTING MICA and their network partners Can't make the li
ve event? No problem - sign up HERE and we'll send you a link to view the
session at your convenience. Register Today
X-ALT-DESC;FMTTYPE=text/html:Energy is an essential component in the ope
rations of any business\, and even more so within industrial markets. Did
you know that energy monitoring isn&rsquo\;t just about metering power con
sumption? While a lot of value can be drawn from understanding power usage
\, the act of energy monitoring can be applied to an incredible range of I
ndustry 4.0 applications. Learn more about how HARTING MICA and their netw
ork of partners can deliver unparalleled energy monitoring solutions. Attendees will learn: - Typical components of Indust
ry 4.0 energy monitoring solutions
- Overview and benefits of Indu
stry 4.0 energy monitoring applications
- Uptime/downtime
Power metering - Power quality monitoring
- Predictive m
aintenance
- Output quality analysis
- Working with HARTIN
G MICA and their network partners
Can't make the li
ve event? No problem - sign up
HERE and we'll send you a link to view the session at your convenience
. Register Today
CATEGORIES:Education
UID:20180321T1845550Z-511394-1739@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180328T150000
DTEND;TZID=America/Sao_Paulo:20180328T160000
SUMMARY:ANATOMY OF AN EMBEDDED LINUX SYSTEM FEATURING NXP I.MX 6ULL
DESCRIPTION:ARE YOU CONSIDERING USING LINUX ON YOUR NEXT EMBEDDED SYSTEM PR
OJECT? Then start here…. This webinar will discuss each of the components
required for Linux to work on an embedded system: Linux kernel Toolchain B
ootloader Filesystem We'll review how each of these components fit into th
e system and what functionality they provide for development and in the fi
nal deployed product. There is also a wide number of choices to be made in
sourcing the different software components\, from commercial suppliers to
free\, open-source software. We will review some of these choices\, looki
ng at what options there are for creating an integrated development enviro
nment for embedded Linux. Join to learn more and start your design right a
way! Featured Speaker: Simon Goda\, Senior Member of Technical Staff\, Dou
los
X-ALT-DESC;FMTTYPE=text/html: Then start here&hellip\;. This web
inar will discuss each of the components required for Linux to work on an
embedded system: - Linux kernel
- Toolchain
- Boot
loader
- Filesystem
We'll review how each of these components fit into the system and w
hat functionality they provide for development and in the final deployed p
roduct. There is also a wide number of choices to be made in sourcing the
different software components\, from commercial suppliers to free\, open-s
ource software. We will review some of these choices\, looking at what opt
ions there are for creating an integrated development environment for embe
dded Linux. Join to learn more and
start your design right away! Simon
Goda\, Senior Member of Technical Staff\, Doulos
CATEGORIES:Education
UID:20180321T1847390Z-511394-1740@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171212T160000
DTEND;TZID=America/Sao_Paulo:20171212T170000
SUMMARY:Industrial Ethernet Designs with MCUs – A Hands-On Introduction - D
ay 2
DESCRIPTION:Industrial Ethernet is still a key communication technology for
factory control. It is built on the long legacy of Ethernet\, but adds si
gnificant capabilities for increasing robustness and reliability. This cou
rse will provide an overview of the key differences between our familiar E
thernet protocol and the Industrial version. A hands on example will use e
asily available software and development boards to dig into some of the ke
y details of an actual Industrial Ethernet implementation. Students can op
tionally obtain the hardware and software to follow along with the impleme
ntation. December 12 – Day 2 – An Introduction to Industrial Ethernet Indu
strial Ethernet leverages the key elements of Ethernet and provides a robu
st solution for industrial applications. This class provides an introducti
on to the Industrial Ethernet standard.
X-ALT-DESC;FMTTYPE=text/html:Industrial Et
hernet is still a key communication technology for factory control. It is
built on the long legacy of Ethernet\, but adds significant capabilities f
or increasing robustness and reliability. This course will provide an overview of the key differences between
our familiar Ethernet protocol and the Industrial version. A hands on exam
ple will use easily available software and development boards to dig into
some of the key details of an actual Industrial Ethernet implementation. S
tudents can optionally obtain the hardware and software to follow along wi
th the implementation. -
Industrial Ethernet leverages the key elemen
ts of Ethernet and provides a robust solution for industrial applications.
This class provides an introduction to the Industrial Ethernet standard.<
/div>
CATEGORIES:Education
UID:20171210T2037560Z-511394-1668@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171211T160000
DTEND;TZID=America/Sao_Paulo:20171211T170000
SUMMARY:Industrial Ethernet Designs with MCUs – A Hands-On Introduction - D
ay 1
DESCRIPTION:Industrial Ethernet is still a key communication technology for
factory control. It is built on the long legacy of Ethernet\, but adds si
gnificant capabilities for increasing robustness and reliability. This cou
rse will provide an overview of the key differences between our familiar E
thernet protocol and the Industrial version. A hands on example will use e
asily available software and development boards to dig into some of the ke
y details of an actual Industrial Ethernet implementation. Students can op
tionally obtain the hardware and software to follow along with the impleme
ntation. December 11 – Day 1 – An Overview of Ethernet Ethernet is a perva
sive connectivity technology used in many connected devices. This class pr
ovides a quick overview and sets the stage for understanding its Industria
l cousin.
X-ALT-DESC;FMTTYPE=text/html: Industrial Et
hernet is still a key communication technology for factory control. It is
built on the long legacy of Ethernet\, but adds significant capabilities f
or increasing robustness and reliability. This course will provide an overview of the key differences between
our familiar Ethernet protocol and the Industrial version. A hands on exam
ple will use easily available software and development boards to dig into
some of the key details of an actual Industrial Ethernet implementation. S
tudents can optionally obtain the hardware and software to follow along wi
th the implementation. -
Ethernet is a pervasive connectivity technol
ogy used in many connected devices. This class provides a quick overview a
nd sets the stage for understanding its Industrial cousin.
CATEGORIES:Education
UID:20171210T2037010Z-511394-1667@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171214T160000
DTEND;TZID=America/Sao_Paulo:20171214T170000
SUMMARY:Industrial Ethernet Designs with MCUs – A Hands-On Introduction - D
ay 4
DESCRIPTION:Industrial Ethernet is still a key communication technology for
factory control. It is built on the long legacy of Ethernet\, but adds si
gnificant capabilities for increasing robustness and reliability. This cou
rse will provide an overview of the key differences between our familiar E
thernet protocol and the Industrial version. A hands on example will use e
asily available software and development boards to dig into some of the ke
y details of an actual Industrial Ethernet implementation. Students can op
tionally obtain the hardware and software to follow along with the impleme
ntation. December 14 – Day 4 – Industrial Ethernet Implementations This cl
ass will provide an example implementation using one of the target platfor
ms described in the previous class and the focus of the design review will
be based on input from the students during the first two classes.
X-ALT-DESC;FMTTYPE=text/html: Industrial Et
hernet is still a key communication technology for factory control. It is
built on the long legacy of Ethernet\, but adds significant capabilities f
or increasing robustness and reliability. This course will provide an overview of the key differences between
our familiar Ethernet protocol and the Industrial version. A hands on exam
ple will use easily available software and development boards to dig into
some of the key details of an actual Industrial Ethernet implementation. S
tudents can optionally obtain the hardware and software to follow along wi
th the implementation. -
This class will provide an example implement
ation using one of the target platforms described in the previous class an
d the focus of the design review will be based on input from the students
during the first two classes.
CATEGORIES:Education
UID:20171210T2040200Z-511394-1670@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171213T160000
DTEND;TZID=America/Sao_Paulo:20171213T170000
SUMMARY:Industrial Ethernet Designs with MCUs – A Hands-On Introduction - D
ay 3
DESCRIPTION:Industrial Ethernet is still a key communication technology for
factory control. It is built on the long legacy of Ethernet\, but adds si
gnificant capabilities for increasing robustness and reliability. This cou
rse will provide an overview of the key differences between our familiar E
thernet protocol and the Industrial version. A hands on example will use e
asily available software and development boards to dig into some of the ke
y details of an actual Industrial Ethernet implementation. Students can op
tionally obtain the hardware and software to follow along with the impleme
ntation. December 13 – Day 3 – Industrial Ethernet Applications This class
will provide descriptions and examples of some common Industrial Ethernet
applications and will illustrate how/when MCUs can be used as target plat
forms.
X-ALT-DESC;FMTTYPE=text/html: Industrial Et
hernet is still a key communication technology for factory control. It is
built on the long legacy of Ethernet\, but adds significant capabilities f
or increasing robustness and reliability. This course will provide an overview of the key differences between
our familiar Ethernet protocol and the Industrial version. A hands on exam
ple will use easily available software and development boards to dig into
some of the key details of an actual Industrial Ethernet implementation. S
tudents can optionally obtain the hardware and software to follow along wi
th the implementation. -
This class will provide descriptions and examples
of some common Industrial Ethernet applications and will illustrate how/wh
en MCUs can be used as target platforms.
CATEGORIES:Education
UID:20171210T2039030Z-511394-1669@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171215T160000
DTEND;TZID=America/Sao_Paulo:20171215T170000
SUMMARY:Industrial Ethernet Designs with MCUs – A Hands-On Introduction - D
ay 5
DESCRIPTION:Industrial Ethernet is still a key communication technology for
factory control. It is built on the long legacy of Ethernet\, but adds si
gnificant capabilities for increasing robustness and reliability. This cou
rse will provide an overview of the key differences between our familiar E
thernet protocol and the Industrial version. A hands on example will use e
asily available software and development boards to dig into some of the ke
y details of an actual Industrial Ethernet implementation. Students can op
tionally obtain the hardware and software to follow along with the impleme
ntation. December 15 – Day 5 – Industrial Ethernet – An Example Implementa
tion This class will provide an example implementation using one of the ta
rget platforms described in the previous class and the focus of the design
review will be based on input from the students during the first two clas
ses.
X-ALT-DESC;FMTTYPE=text/html:Industrial Et
hernet is still a key communication technology for factory control. It is
built on the long legacy of Ethernet\, but adds significant capabilities f
or increasing robustness and reliability. This course will provide an overview of the key differences between
our familiar Ethernet protocol and the Industrial version. A hands on exam
ple will use easily available software and development boards to dig into
some of the key details of an actual Industrial Ethernet implementation. S
tudents can optionally obtain the hardware and software to follow along wi
th the implementation. -
This class will pr
ovide an example implementation using one of the target platforms describe
d in the previous class and the focus of the design review will be based o
n input from the students during the first two classes.
CATEGORIES:Education
UID:20171210T2041410Z-511394-1671@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171213T130000
DTEND;TZID=America/Sao_Paulo:20171213T140000
SUMMARY:Understanding Power System Management
DESCRIPTION:Overview: Sponsored by Analog Devices and Arrow. Power System
Management allows our customers to select important power supply parameter
s over a digital communication interface. It enables the power supply to c
ommunicate important information about the status of the power system upst
ream to the system or board management controller. With PSM devices\, you
can configure voltages\, define complex on/off sequencing arrangements\, d
efine fault conditions\, and set important power supply parameters. Who Sh
ould Attend: Design Engineers. People interested in learning more about po
wer management for markets such as\, data centers\, communications\, autom
atic test equipment (ATE)\, industrial\, PCIe and optical applications.
X-ALT-DESC;FMTTYPE=text/html:Overview: Sponsored by Analog Devices and Arrow. \; P
ower System Management allows our customers to select important power supp
ly parameters over a digital communication interface. It enables the power
supply to communicate important information about the status of the power
system upstream to the system or board management controller. With PSM de
vices\, you can configure voltages\, define complex on/off sequencing arra
ngements\, define fault conditions\, and set important power supply parame
ters.
Who Should Attend: Design Engineers. People interested in learning more a
bout power management for markets such as\, data centers\, communications\
, automatic test equipment (ATE)\, industrial\, PCIe and optical applicati
ons. |
table>
CATEGORIES:Education
UID:20171210T2045140Z-511394-1672@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171011T130000
DTEND;TZID=America/Sao_Paulo:20171011T140000
SUMMARY:Designing for the IoT
DESCRIPTION:As we learned in our first class\, we need a cohesive platform
of tools and various ‘building blocks’ to build a robust cloud-based IoT s
olution to meet the needs of even high-reliability IIoT applications. In t
his second class on cloud-based design for the IoT\, our expert instructor
will discuss how a combination of Industrial Internet of Things (IIoT) te
chnologies and software-defined architecture (SDA) from Wind River® is ush
ering in a new era of IoT innovation. Some of the topics to be covered inc
lude development tools and example pre-build platforms for many popular ta
rgets\, connectivity and control APIs\, and network and infrastructure pla
tforms and services. Our instructor will also cover the topics of how to a
ssure our communications and data are secure and properly communicated\, f
iltered\, collected\, and safely stored. Current industry estimates are th
at only 10-25% of data is actually collected and stored – when this data i
ncreases by perhaps two orders of magnitude in the next five years\, how c
an we assure that we can indeed collecting\, communicating\, using\, and s
aving the data we need? We will look at some of the answers to that questi
on in this class. Sponsored by Wind River. REGISTER NOW FOR CLASS 2
X-ALT-DESC;FMTTYPE=text/html:As we learned in our first class\, we need
a cohesive platform of tools and various &lsquo\;building blocks&rsquo\; t
o build a robust cloud-based IoT solution to meet the needs of even high-r
eliability IIoT applications. In this second class on cloud-based design f
or the IoT\, our expert instructor will discuss how a combination of Indus
trial Internet of Things (IIoT) technologies and software-defined architec
ture (SDA) from Wind River®\; is ushering in a new era of IoT innovatio
n. Some of the topics to be covered include development tools and example
pre-build platforms for many popular targets\, connectivity and control AP
Is\, and network and infrastructure platforms and services. Our instructor
will also cover the topics of how to assure our communications and data a
re secure and properly communicated\, filtered\, collected\, and safely st
ored. Current industry estimates are that only 10-25% of data is actually
collected and stored &ndash\; when this data increases by perhaps two orde
rs of magnitude in the next five years\, how can we assure that we can ind
eed collecting\, communicating\, using\, and saving the data we need? We w
ill look at some of the answers to that question in this class. Sponsored
by Wind River. REGISTER NOW FOR CLASS 2
CATEGORIES:Education
UID:20171001T1935510Z-511394-1576@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171010T130000
DTEND;TZID=America/Sao_Paulo:20171010T140000
SUMMARY:IoT and Cloud Computing Basics
DESCRIPTION:Before we begin our IoT system solution\, we need to fully unde
rstand the overall structure and key elements of a robust and secure cloud
-based system. In this leadoff class\, our expert instructor will review t
hese needs as well as the possible challenges that we face and will contin
ue to face in our IoT system throughout its life cycle. We will review the
basics of designing for the IoT as well as the primary elements that are
needed in its design. Issues to be covered include safety\, security\, dev
ice management\, data management\, network communications and infrastructu
re\, and maintenance. We will look at Wind River Helix Device Cloud\, a Sa
aS IoT device management platform\, and how its ready-built elements can b
e integrated into our IoT design. Sponsored by Wind River. REGISTER NOW
FOR CLASS 1
X-ALT-DESC;FMTTYPE=text/html:Before we beg
in our IoT system solution\, we need to fully understand the overall struc
ture and key elements of a robust and secure cloud-based system. In this l
eadoff class\, our expert instructor will review these needs as well as th
e possible challenges that we face and will continue to face in our IoT sy
stem throughout its life cycle. We will review the basics of designing for
the IoT as well as the primary elements that are needed in its design. Is
sues to be covered include safety\, security\, device management\, data ma
nagement\, network communications and infrastructure\, and maintenance. We
will look at Wind River Helix Device Cloud\, a SaaS IoT device management
platform\, and how its ready-built elements can be integrated into our Io
T design. Sponsored by Wind River. \;  \; REGISTER NOW FOR CLASS 1  \;
CATEGORIES:Education
UID:20171001T1934100Z-511394-1575@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171013T160000
DTEND;TZID=America/Sao_Paulo:20171013T170000
SUMMARY:Embedded System Design Techniques™ Transitioning from C to C++ - Da
y 5
DESCRIPTION:The C Programming language has been a dominate tool in embedded
systems since its inception but as compiler and microcontroller technolog
ies have advanced\, more modern and object-oriented languages are beginnin
g to make much more sense. In this course\, Jacob Beningo will walk attend
ees through an introduction to C++ and demonstrate how it can be used in a
real-time application running on ARM Cortex-M microcontrollers. Attendees
will walk away with an understanding on when\, where and how to begin usi
ng C++ in their applications. October 13 – Day 5 – Getting into the Bits a
nd Bytes In this final session\, we will examine the concepts and techniqu
es developers require to map their application into the low-level hardware
. Attendees will examine how to access microcontroller registers\, create
drivers and the template class. At the end of the course developers will k
now enough C++ to intelligently design applications and understand the pot
ential pitfalls with different language constructs.
X-ALT-DESC;FMTTYPE=text/html:The C Program
ming language has been a dominate tool in embedded systems since its incep
tion but as compiler and microcontroller technologies have advanced\, more
modern and object-oriented languages are beginning to make much more sens
e. In this course\, Jacob Beningo wi
ll walk attendees through an introduction to C++ and demonstrate how it ca
n be used in a real-time application running on ARM Cortex-M microcontroll
ers. Attendees will walk away with an understanding on when\, where and ho
w to begin using C++ in their applications. - In this final session\, we will examine the concepts an
d techniques developers require to map their application into the low-leve
l hardware. Attendees will examine how to access microcontroller registers
\, create drivers and the template class. At the end of the course develop
ers will know enough C++ to intelligently design applications and understa
nd the potential pitfalls with different language constructs. \;
CATEGORIES:Education
UID:20171001T1954280Z-511394-1582@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171006T130000
DTEND;TZID=America/Sao_Paulo:20171006T140000
SUMMARY:Sensor Payloads on Tactical UAS: Warfighter Need\, Consumer Crossov
er and Long-Term Market Trends
DESCRIPTION:From wide-area motion imagery (WAMI) systems to synthetic apert
ure radar (SAR)\, military sensors are getting smaller and smaller\, prese
nting a unique opportunity for the operators of tactical unmanned aircraft
systems (UAS). How has the transition to smaller platforms been going? Wh
at can be done to improve the process? What are the long-term prospects fo
r military markets? And\, finally\, how have miniaturized sensors been cro
ssing over into the civilian world (and vice versa)?
X-ALT-DESC;FMTTYPE=text/html:From wide-area motion imagery (WAMI) systems
to synthetic aperture radar (SAR)\, military sensors are getting smaller
and smaller\, presenting a unique opportunity for the operators of tactica
l unmanned aircraft systems (UAS). How has the transition to smaller platf
orms been going? What can be done to improve the process? What are the lon
g-term prospects for military markets? And\, finally\, how have miniaturiz
ed sensors been crossing over into the civilian world (and vice versa)?
span>
CATEGORIES:Education
UID:20171001T1956300Z-511394-1583@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171012T130000
DTEND;TZID=America/Sao_Paulo:20171012T140000
SUMMARY:Keeping Your IoT Devices Secure – From Design to Decommissioning
DESCRIPTION:In our first two classes\, we have looked at the needs of a clo
ud-based IoT solution and how to design and build it. In this final class
our expert instructor will cover the critical aspects of how to test and d
eploy our IoT solution\, and more importantly\, how to keep it secure and
operational through ongoing monitoring and maintenance. We will look at ho
w Device Cloud enables ongoing monitoring and maintenance for the devices
through the entire life cycle of the IoT solution from design through end-
of life. Particular elements to be covered will include Device Cloud funct
ionality such as edge management and data management. Still in its relativ
e infancy\, the IoT is already being hacked at an alarming rate. We need t
o include the tools and the platform to stay one step ahead\, keep our dev
ices secure and up-to-date\, and maintain a vigilant ‘eye’ on the system t
hroughout its life. Sponsored by Wind River. REGISTER NOW FOR CLASS 3
X-ALT-DESC;FMTTYPE=text/html:In our first two classes\, we have looked a
t the needs of a cloud-based IoT solution and how to design and build it.
In this final class our expert instructor will cover the critical aspects
of how to test and deploy our IoT solution\, and more importantly\, how to
keep it secure and operational through ongoing monitoring and maintenance
. We will look at how Device Cloud enables ongoing monitoring and maintena
nce for the devices through the entire life cycle of the IoT solution from
design through end-of life. Particular elements to be covered will includ
e Device Cloud functionality such as edge management and data management.
Still in its relative infancy\, the IoT is already being hacked at an alar
ming rate. We need to include the tools and the platform to stay one step
ahead\, keep our devices secure and up-to-date\, and maintain a vigilant &
lsquo\;eye&rsquo\; on the system throughout its life. Sponsored by Wind Ri
ver.  \; REGISTER NOW FOR CLASS 3
CATEGORIES:Education
UID:20171001T1937280Z-511394-1577@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171010T160000
DTEND;TZID=America/Sao_Paulo:20171010T170000
SUMMARY:Embedded System Design Techniques™ Transitioning from C to C++ - Da
y 2
DESCRIPTION:The C Programming language has been a dominate tool in embedded
systems since its inception but as compiler and microcontroller technolog
ies have advanced\, more modern and object-oriented languages are beginnin
g to make much more sense. In this course\, Jacob Beningo will walk attend
ees through an introduction to C++ and demonstrate how it can be used in a
real-time application running on ARM Cortex-M microcontrollers. Attendees
will walk away with an understanding on when\, where and how to begin usi
ng C++ in their applications. October 10 – Day 2 – Designing a C++ Applica
tio Developers working in C typically think in a very functional or proced
ural mindset. When working with an object-oriented language\, developers n
eed to think in terms of objects and operations. In this session\, attende
es will learn how to design a C++ application by performing functional fun
ctional decomposition. Attendees will walk away with a new mindset on how
to design application and how to encourage more reuse and portability from
their software.
X-ALT-DESC;FMTTYPE=text/html:The C Program
ming language has been a dominate tool in embedded systems since its incep
tion but as compiler and microcontroller technologies have advanced\, more
modern and object-oriented languages are beginning to make much more sens
e. In this course\, Jacob Beningo wi
ll walk attendees through an introduction to C++ and demonstrate how it ca
n be used in a real-time application running on ARM Cortex-M microcontroll
ers. Attendees will walk away with an understanding on when\, where and ho
w to begin using C++ in their applications. - Developers working in C typically think in a very functiona
l or procedural mindset. When working with an object-oriented language\, d
evelopers need to think in terms of objects and operations. In this sessio
n\, attendees will learn how to design a C++ application by performing fun
ctional functional decomposition. Attendees will walk away with a new mind
set on how to design application and how to encourage more reuse and porta
bility from their software. \;
CATEGORIES:Education
UID:20171001T1943310Z-511394-1579@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171011T160000
DTEND;TZID=America/Sao_Paulo:20171011T170000
SUMMARY:Embedded System Design Techniques™ Transitioning from C to C++ - Da
y 3
DESCRIPTION:The C Programming language has been a dominate tool in embedded
systems since its inception but as compiler and microcontroller technolog
ies have advanced\, more modern and object-oriented languages are beginnin
g to make much more sense. In this course\, Jacob Beningo will walk attend
ees through an introduction to C++ and demonstrate how it can be used in a
real-time application running on ARM Cortex-M microcontrollers. Attendees
will walk away with an understanding on when\, where and how to begin usi
ng C++ in their applications. October 11 – Day 3 – Beginning the Transitio
n In this session\, attendees will examine how to get C++ up and running o
n a target development board and create their first embedded C++ applicati
ons. We will discuss the various tools developers should be using and get
an LED blinky program up and running on hardware. Attendees will walk away
with with real world C++ experience along with ideas on how they can star
t transitioning their own code over to C++.
X-ALT-DESC;FMTTYPE=text/html:The C Program
ming language has been a dominate tool in embedded systems since its incep
tion but as compiler and microcontroller technologies have advanced\, more
modern and object-oriented languages are beginning to make much more sens
e. In this course\, Jacob Beningo wi
ll walk attendees through an introduction to C++ and demonstrate how it ca
n be used in a real-time application running on ARM Cortex-M microcontroll
ers. Attendees will walk away with an understanding on when\, where and ho
w to begin using C++ in their applications. - In this session\, attendees will examine how to get C++ up and r
unning on a target development board and create their first embedded C++ a
pplications. We will discuss the various tools developers should be using
and get an LED blinky program up and running on hardware. Attendees will w
alk away with with real world C++ experience along with ideas on how they
can start transitioning their own code over to C++.
CATEGORIES:Education
UID:20171001T1950020Z-511394-1580@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171009T160000
DTEND;TZID=America/Sao_Paulo:20171009T170000
SUMMARY:Embedded System Design Techniques™ Transitioning from C to C++ - Da
y 1
DESCRIPTION:The C Programming language has been a dominate tool in embedded
systems since its inception but as compiler and microcontroller technolog
ies have advanced\, more modern and object-oriented languages are beginnin
g to make much more sense. In this course\, Jacob Beningo will walk attend
ees through an introduction to C++ and demonstrate how it can be used in a
real-time application running on ARM Cortex-M microcontrollers. Attendees
will walk away with an understanding on when\, where and how to begin usi
ng C++ in their applications. October 9 – Day 1 – C++ Fundamentals Develop
ers are very familiar with C but when it comes to C++\, many developers ha
ve either never touched the language or forgotten everything they have lea
rned. In this session\, attendees will get a brief refresher course on cri
tical object-oriented concepts such as object and classes\, encapsulation\
, inheritance and polymorphism. Attendees will have the opportunity to wri
te a C++ program without the need for any specialized hardware.
X-ALT-DESC;FMTTYPE=text/html:The C Program
ming language has been a dominate tool in embedded systems since its incep
tion but as compiler and microcontroller technologies have advanced\, more
modern and object-oriented languages are beginning to make much more sens
e. In this course\, Jacob Beningo wi
ll walk attendees through an introduction to C++ and demonstrate how it ca
n be used in a real-time application running on ARM Cortex-M microcontroll
ers. Attendees will walk away with an understanding on when\, where and ho
w to begin using C++ in their applications. - Develo
pers are very familiar with C but when it comes to C++\, many developers h
ave either never touched the language or forgotten everything they have le
arned. In this session\, attendees will get a brief refresher course on cr
itical object-oriented concepts such as object and classes\, encapsulation
\, inheritance and polymorphism. Attendees will have the opportunity to wr
ite a C++ program without the need for any specialized hardware. \;
CATEGORIES:Education
UID:20171001T1944520Z-511394-1578@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171012T160000
DTEND;TZID=America/Sao_Paulo:20171012T170000
SUMMARY:Embedded System Design Techniques™ Transitioning from C to C++ - Da
y 4
DESCRIPTION:The C Programming language has been a dominate tool in embedded
systems since its inception but as compiler and microcontroller technolog
ies have advanced\, more modern and object-oriented languages are beginnin
g to make much more sense. In this course\, Jacob Beningo will walk attend
ees through an introduction to C++ and demonstrate how it can be used in a
real-time application running on ARM Cortex-M microcontrollers. Attendees
will walk away with an understanding on when\, where and how to begin usi
ng C++ in their applications. October 12 – Day 4 – Real-time C++ In the pa
st\, many complaints about using C++ rather than C were related to perform
ance or code size issues. In many cases\, this simply was due to the devel
oper using language features that are not appropriate for a real-time syst
em. In this session\, we will continue to build on our LED blinky program
by examining inheritance\, dynamic polymorphism\, pure and virtual abstrac
tions and how they can affect the applications real-time performance.
X-ALT-DESC;FMTTYPE=text/html:The C Program
ming language has been a dominate tool in embedded systems since its incep
tion but as compiler and microcontroller technologies have advanced\, more
modern and object-oriented languages are beginning to make much more sens
e. In this course\, Jacob Beningo wi
ll walk attendees through an introduction to C++ and demonstrate how it ca
n be used in a real-time application running on ARM Cortex-M microcontroll
ers. Attendees will walk away with an understanding on when\, where and ho
w to begin using C++ in their applications. - In the pas
t\, many complaints about using C++ rather than C were related to performa
nce or code size issues. In many cases\, this simply was due to the develo
per using language features that are not appropriate for a real-time syste
m. In this session\, we will continue to build on our LED blinky program b
y examining inheritance\, dynamic polymorphism\, pure and virtual abstract
ions and how they can affect the applications real-time performance. \
;
CATEGORIES:Education
UID:20171001T1952230Z-511394-1581@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171019T080000
DTEND;TZID=America/Sao_Paulo:20171019T163000
SUMMARY:NI - Aerospace & Defense Day!
DESCRIPTION:A agenda para o Aerospace & Defense Day foi liberada e você pod
e ver agora mesmo todas as palestras e conteúdos que serão abordados na ed
ição deste ano. Confira abaixo algumas sessões de destaque e clique aqui p
ara ver a versão completa com todas as palestras. INSCREVA-SE
X-ALT-DESC;FMTTYPE=text/html:A agenda para o Aerospace &\; Defense Day foi liberada e voc&e
circ\; pode ver agora mesmo todas as palestras e conteú\;dos que ser
ã\;o abordados na ediç\;ã\;o deste ano.
Confi
ra abaixo algumas sessõ\;es de destaque e clique aqui para ver a versã\;o comp
leta com todas as palestras. INSCREVA-SE
CATEGORIES:Education
UID:20171001T2003400Z-511394-1584@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180117T160000
DTEND;TZID=America/Sao_Paulo:20180117T170000
SUMMARY:How RAIN RFID Can Help You Manage Your Data Center
DESCRIPTION: Today’s Data Centers are facing many challenges. As facilitie
s grow\, the ability to manage inventory\, asset utilization and power con
sumption becomes increasingly difficult. Frequent reconfigurations and con
solidations create a loss of inventory visibility. Co-located assets may r
equire utilizing multiple management systems and procedures. Sarbanes-Oxle
y accounting procedures mandate that a verifiable record of all assets be
maintained. And all of this is occurring in an environment of increased ri
sk of data loss. That’s why firms like Cisco and DIRECTV have turned to RA
IN Radio Frequency Identification (RFID) systems to better track their IT
assets. Join us and learn how RAIN RFID can help you better manage your da
ta center and provide improved asset visibility. RAIN RFID delivers the fo
llowing benefits: More accurate inventory Enhanced asset security Increase
d visibility of real-time operating metrics through data analytics Greater
compliance with financial / accounting requirements Short return-on-inves
tment cycle Register now!
X-ALT-DESC;FMTTYPE=text/html: \; Today&rsquo\;s Data Centers are facing many challenges. As
facilities grow\, the ability to manage inventory\, asset utilization and
power consumption becomes increasingly difficult. Frequent reconfiguration
s and consolidations create a loss of inventory visibility. Co-located ass
ets may require utilizing multiple management systems and procedures. Sarb
anes-Oxley accounting procedures mandate that a verifiable record of all a
ssets be maintained. And all of this is occurring in an environment of inc
reased risk of data loss. That&rsquo\;s why firms like Cisco and DIRECTV h
ave turned to RAIN Radio Frequency Identification (RFID) systems to better
track their IT assets. Join us and learn how RAIN RFID can help you better manage your data center an
d provide improved asset visibility. RAIN RFID delivers the following bene
fits: - More accurate inventory
- En
hanced asset security
- Increased visibility of real-t
ime operating metrics through data analytics
- Greater
compliance with financial / accounting requirements
- Short return-on-investment cycle
Register now!
CATEGORIES:Education
UID:20180108T2056050Z-511394-1674@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180213T160000
DTEND;TZID=America/Sao_Paulo:20180213T170000
SUMMARY:Sensors/MEMS Marketing: Oxymoron or Opportunity
DESCRIPTION: Roger Grace is a 35-year veteran as a sensor marketing consul
tant and has conducted extensive interviews with over 20 MEMS/sensors high
ly credentialed executives to create this material. Armed with this inform
ation as well as his own\, 'Sensors/MEMS Marketing: Oxymoron or Opportunit
y' will provide answers to critical issues. Register Now
X-ALT-DESC;FMTTYPE=text/html: \; Roger Grace is a 35-year veteran as a sensor mar
keting consultant and has conducted extensive interviews with over 20 MEMS
/sensors highly credentialed executives to create this material. Armed with this information as well as his own\, 'Sensors/MEMS Marketing:
Oxymoron or Opportunity' will provide answers to critical issues.
CATEGORIES:Education
UID:20180108T2059390Z-511394-1675@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170627T150000
DTEND;TZID=America/Sao_Paulo:20170627T160000
SUMMARY:NFC Technology: Opening a World of Opportunities in IoT
DESCRIPTION:Summary The Internet of Things is pushing a wave of new applica
tions integrating NFC every day. NFC is a simple and intuitive\, zero powe
r wireless technology. This technology is paving the way to new opportunit
ies: enriching branding and customer engagement\; going beyond industrial
logistics and supply chain\, with smart maintenance assistance\; enhancing
access control\; simplifying payments. This webinar gives an overview of
NFC technology and introduces ST25 innovative solutions for some of the em
erging use cases. REGISTER
X-ALT-DESC;FMTTYPE=text/html: The \;Internet of Things&nbs
p\;is pushing a wave of new applications integrating NFC every day. NFC is
a simple and intuitive\, zero power wireless technology. This technology
is paving the way to new opportunities: enriching branding and customer en
gagement\; going beyond industrial logistics and supply chain\, with smart
maintenance assistance\; enhancing access control\; simplifying payments.
This webinar gives an overview of NFC technology and introduces ST25 inno
vative solutions for some of the emerging use cases.  \;
 \; REGISTER
CATEGORIES:Education
UID:20170610T1955310Z-511394-1435@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171130T140000
DTEND;TZID=America/Sao_Paulo:20171130T150000
SUMMARY:5G Boot Camp Series: What You Need To Know Now Day 3
DESCRIPTION:5G is changing the face and structure of wireless communication
s and core networking\, affecting everything from IoT devices to smartphon
es and autonomous vehicles. But do you really understand why\, and how?
This EETimes University Special Boot Camp provides an understanding of the
fundamentals of 5G. By the end of the three hours\, attendees will: Under
stand what 5G is\, why it’s needed\, and its service and performance requi
rements. Understand what’s required to build out the 5G network\, includin
g its core technologies\, pain points\, and opportunities for further deve
lopment. Get an update on the state of 5G deployments\, globally. The cou
rse is interactive\, so you can ask questions live. Click here for more in
fo and to sign up. » Register NOW
X-ALT-DESC;FMTTYPE=text/html:5G is changing the face and structure of wi
reless communications and core networking\, affecting everything from IoT
devices to smartphones and autonomous vehicles. But do you really understa
nd why\, and how?  \; This EETimes University Special Boo
t Camp provides an understanding of the fundamentals of 5G. By the end of
the three hours\, attendees will: - Understand what 5G is\, w
hy it&rsquo\;s needed\, and its service and performance requirements.
- Understand what&rsquo\;s required to build out the 5G network\, incl
uding its core technologies\, pain points\, and opportunities for further
development.
- Get an update on the state of 5G deployments\, glob
ally. \;
The course is interactive\,
so you can ask questions live. Click here for more info
and to sign up.  \; »\; Register NOW
CATEGORIES:Education
UID:20171121T1743320Z-511394-1635@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171128T140000
DTEND;TZID=America/Sao_Paulo:20171128T150000
SUMMARY:5G Boot Camp Series: What You Need To Know Now Day 1
DESCRIPTION:5G is changing the face and structure of wireless communication
s and core networking\, affecting everything from IoT devices to smartphon
es and autonomous vehicles. But do you really understand why\, and how?
This EETimes University Special Boot Camp provides an understanding of the
fundamentals of 5G. By the end of the three hours\, attendees will: Under
stand what 5G is\, why it’s needed\, and its service and performance requi
rements. Understand what’s required to build out the 5G network\, includin
g its core technologies\, pain points\, and opportunities for further deve
lopment. Get an update on the state of 5G deployments\, globally. The cou
rse is interactive\, so you can ask questions live. Click here for more in
fo and to sign up. » Register NOW
X-ALT-DESC;FMTTYPE=text/html:5G is changing the face and structure of wi
reless communications and core networking\, affecting everything from IoT
devices to smartphones and autonomous vehicles. But do you really understa
nd why\, and how?  \; This EETimes University Special Boo
t Camp provides an understanding of the fundamentals of 5G. By the end of
the three hours\, attendees will: - Understand what 5G is\, w
hy it&rsquo\;s needed\, and its service and performance requirements.
- Understand what&rsquo\;s required to build out the 5G network\, incl
uding its core technologies\, pain points\, and opportunities for further
development.
- Get an update on the state of 5G deployments\, glob
ally. \;
The course is interactive\,
so you can ask questions live. Click here for more info
and to sign up.  \; »\; Register NOW
CATEGORIES:Education
UID:20171121T1743020Z-511394-1633@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171212T130000
DTEND;TZID=America/Sao_Paulo:20171212T140000
SUMMARY:High-Speed Data Acquisition Using Analog Devices DAQ2 and Intel's A
rria10
DESCRIPTION:Summary This webinar discusses the new high-speed data acquisit
ion kit from Arrow and how to use it to demonstrate and develop solutions
while taking advantage of the space-saving GBPS JESD204B serial interface.
It showcases Intel’s Arria 10 GX FPGA and Analog Devices’ AD9144 DAC and
AD9680 ADC sending and receiving data over 4 serial lanes at a rate of 40G
BPS. What you will learn: Participants are given background on the JESD204
B Interface\, why it was created\, its advantages over other interfaces an
d which applications benefit from using it. The kit contents will be descr
ibed including the two major components\, the Arria 10 GX FPGA development
board and AD-FMCDAQ2-EVK data converter evaluation board. Viewers will be
shown how to setup the boards that come with the kit\, install the firmwa
re and run the built-in demo. Finally\, the presentation will cover how to
develop your own high-speed solutions including where to go for documenta
tion\, firmware downloads\, reference designs\, and more. REGISTER
X-ALT-DESC;FMTTYPE=text/html: This webinar discusses the new high-speed data ac
quisition kit from Arrow and how to use it to demonstrate and develop solu
tions while taking advantage of the space-saving GBPS JESD204B serial inte
rface. It showcases Intel&rsquo\;s Arria 10 GX FPGA and Analog Dev
ices&rsquo\; AD9144 DAC and AD9680 ADC sending and receiving data over 4 s
erial lanes at a rate of 40GBPS. What you will learn: Participants are given
background on the JESD204B Interface\, why it was created\, its advantage
s over other interfaces and which applications benefit from using it. The
kit contents will be described including the two major components\, the Ar
ria 10 GX FPGA development board and AD-FMCDAQ2-EVK data converter evaluat
ion board. Viewers will be shown how to setup the boards that come with th
e kit\, install the firmware and run the built-in demo. Finally\, the pres
entation will cover how to develop your own high-speed solutions including
where to go for documentation\, firmware downloads\, reference designs\,
and more.  \; REGISTER  \;
CATEGORIES:Education
UID:20171121T1746430Z-511394-1637@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171129T140000
DTEND;TZID=America/Sao_Paulo:20171129T150000
SUMMARY:5G Boot Camp Series: What You Need To Know Now Day 2
DESCRIPTION:5G is changing the face and structure of wireless communication
s and core networking\, affecting everything from IoT devices to smartphon
es and autonomous vehicles. But do you really understand why\, and how?
This EETimes University Special Boot Camp provides an understanding of the
fundamentals of 5G. By the end of the three hours\, attendees will: Under
stand what 5G is\, why it’s needed\, and its service and performance requi
rements. Understand what’s required to build out the 5G network\, includin
g its core technologies\, pain points\, and opportunities for further deve
lopment. Get an update on the state of 5G deployments\, globally. The cou
rse is interactive\, so you can ask questions live. Click here for more in
fo and to sign up. » Register NOW
X-ALT-DESC;FMTTYPE=text/html:5G is changing the face and structure of wi
reless communications and core networking\, affecting everything from IoT
devices to smartphones and autonomous vehicles. But do you really understa
nd why\, and how?  \; This EETimes University Special Boo
t Camp provides an understanding of the fundamentals of 5G. By the end of
the three hours\, attendees will: - Understand what 5G is\, w
hy it&rsquo\;s needed\, and its service and performance requirements.
- Understand what&rsquo\;s required to build out the 5G network\, incl
uding its core technologies\, pain points\, and opportunities for further
development.
- Get an update on the state of 5G deployments\, glob
ally. \;
The course is interactive\,
so you can ask questions live. Click here for more info
and to sign up.  \; »\; Register NOW
CATEGORIES:Education
UID:20171121T1743430Z-511394-1634@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171207T140000
DTEND;TZID=America/Sao_Paulo:20171207T150000
SUMMARY:RF Discretes: Beyond Product Performance
DESCRIPTION:Summary In this webinar attendees will learn about RF discretes
solutions for conventional as well as emerging applications across differ
ent markets\, i.e. consumer\, industrial and infrastructure. Join the webi
nar and learn more about our recommendations for your RF design! Attendees
will learn: The integration of RF discretes in emerging applications such
as sharp antennas\, lidar and others What we offer beyond product perform
ance - the criteria for the right choices Infineon's product portfolio ove
rview REGISTER
X-ALT-DESC;FMTTYPE=text/html: In this webinar attendees will learn about RF dis
cretes solutions for conventional as well as emerging applications across
different markets\, i.e. consumer\, industrial and infrastructure. Join th
e webinar and learn more about our recommendations for your RF design!
Attendees will learn: - The integration
of RF discretes in emerging applications such as sharp antennas\, lidar a
nd others
- What we offer beyond product performance - the criteri
a for the right choices
- Infineon's product portfolio overview
REGISTER
CATEGORIES:Education
UID:20171121T1745240Z-511394-1636@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171219T140000
DTEND;TZID=America/Sao_Paulo:20171219T150000
SUMMARY:Ambient Sensing: How to Use Barometric Data to Enable Your Device t
o Cope with Its Environment
DESCRIPTION:Summary Join the webinar and learn more about applications util
izing barometric data\, Infineon's disruptive barometric sensing technolog
y and latest hardware and software tools that support quick and easy end d
evice integration. Applications utilizing barometric data The key davantag
es of Infineon's barometric pressure sensor Infineon's new\, intelligent p
ressure and temperature sensor combo solution New boards and software tool
s that enable easy evaluation and quick integration of Infineon pressure s
ensors REGISTER
X-ALT-DESC;FMTTYPE=text/html: Join the webinar and learn more about application
s utilizing barometric data\, Infineon's disruptive barometric sensing tec
hnology and latest hardware and software tools that support quick and easy
end device integration. - Applications utilizing barometric
data
- The key davantages of Infineon's barometric pressure sensor
- Infineon's new\, intelligent pressure and temperature sensor co
mbo solution
- New boards and software tools that enable easy eval
uation and quick integration of Infineon pressure sensors
 \; REGISTER
CATEGORIES:Education
UID:20171121T1748180Z-511394-1638@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171128T150000
DTEND;TZID=America/Sao_Paulo:20171128T160000
SUMMARY:SUPER-RESOLUTION MICROSCOPY: Advances in FPALM and their impact on
life sciences
DESCRIPTION:In this webcast\, Sam Hess\, developer of FPALM\, will explain
key enabling developments\, its operation\, and the evolution to Spectral-
FPALM. What You'll Learn: How FPALM works\, and how it compares to rel
ated methods including STORM and PALM The operating principles of Spectral
-FPALM How to determine whether FPALM is a good choice for your work How t
o get the best results from experiments based on FPALM and Spectral-FPALM
X-ALT-DESC;FMTTYPE=text/html:In this webcast\, Sam Hess\, developer of FPALM\, will expl
ain key enabling developments\, its operation\, and the evolution to Spect
ral-FPALM.  \; What
You'll Learn:  \; - How FPALM works\, and how it compares to related me
thods including \;STORM and PALM
- The operating principles of Spectral-FPA
LM
-
How to determine whether FPALM is a good choice for your work
- How to get the
best results from experiments based on FPALM and Spectral-FPALM
 \;  \;  \;
CATEGORIES:Education
UID:20171121T1749590Z-511394-1639@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171130T130000
DTEND;TZID=America/Sao_Paulo:20171130T140000
SUMMARY:Transforming Built Spaces with Healthy Lighting
DESCRIPTION:Lighting that shifts naturally — just like the sun — is the num
ber one driver in setting our internal body clocks\, which inform energy l
evels and sleep patterns. Yet most of us spend 90% of our days indoors und
er static light\, dim light that disrupts those internal clocks. What Yo
u'll Learn: How light influences circadian rhythms and other health indi
cators How lighting can be designed to improve health and productivity How
buildings can incorporate a Natural Light system for improved wellbeing
X-ALT-DESC;FMTTYPE=text/html:Lighting that shifts naturally &mdash\; just like the sun &
mdash\; is the number one driver in setting our internal body clocks\, whi
ch inform energy levels and sleep patterns. Yet most of us spend 90% of ou
r days indoors under static light\, dim light that disrupts those internal
clocks.  \; What Y
ou'll Learn:  \; - How light influences circadian rhythms and other hea
lth indicators
- How lighting can be designed to improve health and productivit
y
- H
ow buildings can incorporate a Natural Light system for improved wellbeing
 \; <
/p>
CATEGORIES:Education
UID:20171121T1751160Z-511394-1640@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171122T130000
DTEND;TZID=America/Sao_Paulo:20171122T140000
SUMMARY:u-blox vehicle webinar series: 5 tips on power\, size & functionali
ty optimization
DESCRIPTION:Join this webinar to learn how the road vehicle industry\, part
icularly the aftermarket segment\, has achieved years of success thanks to
u-blox automotive performance. This webinar is the last of three vehicle-
focused webinars this fall and will focus on u-blox power and size optimiz
ation solutions. Discover how the u-blox offerings will enable your produc
t to be in compliance with the current and future market requirements. Ach
ieve seamless integration between u-blox cellular\, positioning and short
range modules in ultra-compact designs considering scalability\, technolog
y migration and minimizing design risks. Key learning objectives: Le
arn how u-blox solutions will enable consumption optimization on your plat
form Discover u-blox modular size optimized solutions and how you can reac
h optimal functionality on a minimal size design Understand design challen
ges with co-located technologies in ultra-compact designs Learn how to min
imize design risks\, cost and time-to-market Discover how to future proof
your design Q&A with presenters REGISTER
X-ALT-DESC;FMTTYPE=text/html:
Join this webinar to learn how the road vehicle industry\, pa
rticularly the aftermarket segment\, has achieved years of success thanks
to u-blox automotive performance. This webinar is the last of
three vehicle-focused webinars this fall and will focus on u-blox power a
nd size optimization solutions. Discover how the u-blox offerings will ena
ble your product to be in compliance with the current and future market re
quirements. Achieve seamless integration between u-blox cellular\, positio
ning and short range modules in ultra-compact designs considering scalabil
ity\, technology migration and minimizing design risks. | | |  \; |  \; | <
tbody> Key learning objec
tives:  \; - Learn how u-bl
ox solutions will enable consumption optimization on your platform
<
li>Discover u-blox modular size optimized solutions and how you can reach
optimal functionality on a minimal size design - Understand design
challenges with co-located technologies in ultra-compact designs
Learn how to minimize design risks\, cost and time-to-market - D
iscover how to future proof your design
- Q&\;A with presenters
| | | REGISTER
CATEGORIES:Education
UID:20171121T1756190Z-511394-1641@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171207T160000
DTEND;TZID=America/Sao_Paulo:20171207T170000
SUMMARY:How to Survive the Millimeter-Wave Component Test Wave
DESCRIPTION:Summary We are at the very beginning of an unprecedented wave o
f electronic devices that will fundamentally change our everyday life. For
example\, emerging 5G technologies and autonomous vehicles will have majo
r impact on how we interact with the world and with each other. This webi
nar will give an overview of challenges and solutions for component level
testing at millimeterwave frequencies.We will drill down into the componen
t level and analyze how millimeter-wave technology will change the way we
test and measure the physical components that ultimately enable all future
emerging technologies. We will describe the measurement techniques and in
struments that are used to perform component level measurements with empha
sis on vector network analyzers (VNAs). Specific attention will be given t
o explaining how systems requirements drive new test techniques on the pro
duction floor. Attendees will leave this webinar with a clear understandin
g of how they can future proof their skills as well as their T&M infrastru
cture\, and how they can get ready for the next big wave: Millimeter-Wave
Technology. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:Summary We are at the very beginning of an unprecedented wave of electronic de
vices that will fundamentally change our everyday life. For example\, emer
ging 5G technologies and autonomous vehicles will have major impact on how
we interact with the world and with each other.  \;This webin
ar will give an overview of challenges and solutions for component
level testing at millimeterwave frequencies.We will drill down i
nto the component level and analyze how millimeter-wave technology will ch
ange the way we test and measure the physical components that ultimately e
nable all future emerging technologies. We will describe the measurement t
echniques and instruments that are used to perform component level measure
ments with emphasis on vector network analyzers (VNAs). Specific attention
will be given to explaining how systems requirements drive new test techn
iques on the production floor. Attendees will leave this webinar with a cl
ear understanding of how they can future proof their skills as well as the
ir T&\;M infrastructure\, and how they can get ready for the next big w
ave: Millimeter-Wave Technology. REGISTER NOW
CATEGORIES:Education
UID:20171121T1758440Z-511394-1642@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171212T160000
DTEND;TZID=America/Sao_Paulo:20171212T170000
SUMMARY:Designing for the Future: Evolution of Smart Building Automation Sy
stems
DESCRIPTION: As mainstream consumers become more connected\, they expect
the devices they use to interoperate\, bringing a collective “awareness”
that adds value to their day-to-day activities. To meet these expectations
\, product developers increasingly require robust\, scalable software solu
tions capable of supporting multiple connectivity standards while meeting
more stringent cost and power constraints. In this webinar\, we will walk
through live demos\, explaining how the IoT evolution has inspired the mos
t flexible and supported software foundation available\, complete with ref
erence designs\, examples\, easy-to-use plug-ins for added functionality a
nd correlated interactive trainings. The TI SimpleLink™ MCU Platform is he
re to help you quickly bring a complete portfolio of connected application
s to market. Speakers: Henry Wiechman\, Connected MCU Software and Tools P
roduct Manager\, Texas Instruments Adrian Fernandez\, Microcontroller Deve
lopment Experience Manager\, Texas Instruments Moderator: Brandon Lewis\,
OpenSystems Media REGIST
X-ALT-DESC;FMTTYPE=text/html: \; |
td> | As mainstream consumers become more connect
ed\, they expect the devices they use to interoperate\, bringing a collect
ive &ldquo\;awareness&rdquo\; that adds value to their day-to-day activiti
es. To meet these expectations\, product developers increasingly require r
obust\, scalable software solutions capable of supporting multiple connect
ivity standards while meeting more stringent cost and power constraints. I
n this webinar\, we will walk through live demos\, explaining how the IoT
evolution has inspired the most flexible and supported software foundation
available\, complete with reference designs\, examples\, easy-to-use plug
-ins for added functionality and correlated interactive trainings. The TI
SimpleLink&trade\; MCU Platform is here to help you quickly bring a comple
te portfolio of connected applications to market. |
Speake
rs: Henry Wiechman\, Connected MCU Software and Tools Produ
ct Manager\, Texas Instruments Adrian Fernandez\, Microcontroller De
velopment Experience Manager\, Texas Instruments Moderator: \; Brandon Lewis\, OpenSyste
ms Media | |  
\;
CATEGORIES:Education
UID:20171121T1800060Z-511394-1643@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171205T160000
DTEND;TZID=America/Sao_Paulo:20171205T170000
SUMMARY:Transforming Medical Device Innovation through Digital Continunity
DESCRIPTION:During the last decade\, Life Sciences companies have been divi
ded into numerous isolated divisions. To manage this\, many created comple
x matrix-based organizations attempting to enhance cross-division communic
ation and data exchange to streamline internal processes\, but much more i
s required. Organizations that digitalize their businesses by embracing th
e principles and technology that deliver digital continuity across the ent
ire innovation continuum will win. This will better serve the drive toward
open innovation\, collaborative research and engineering\, manufacturing
and the ultimate delivery of differentiated patient experiences. It will t
ransform the way they innovate and operate\, driving significantly enhance
d margins with patient centric experiences and increased productivity and
profits. Attend this interactive webinar to learn how you can improve prod
uct development efficiencies through digital continuity\, and achieve brea
kthrough innovations while delivering high quality and global regulatory c
ompliance. For more information and to register\, click here. Speakers: Ar
ieh Halpern\, Life Sciences Industry\, Dassault Systemes Barry Foster\, R&
D Quality &Compliance Director\, Dassault Systemes Jim Brown\, Founder and
President Tech-Clarity Register now
X-ALT-DESC;FMTTYPE=text/html:During the last decade\, Life Sciences comp
anies have been divided into numerous isolated divisions. To manage this\,
many created complex matrix-based organizations attempting to enhance cro
ss-division communication and data exchange to streamline internal process
es\, but much more is required.
Organizations that digitalize t
heir businesses by embracing the principles and technology that deliver di
gital continuity across the entire innovation continuum will win. This wil
l better serve the drive toward open innovation\, collaborative research a
nd engineering\, manufacturing and the ultimate delivery of differentiated
patient experiences. It will transform the way they innovate and operate\
, driving significantly enhanced margins with patient centric experiences
and increased productivity and profits.
Attend this interactive
webinar to learn how you can improve product development efficiencies thr
ough digital continuity\, and achieve breakthrough innovations while deliv
ering high quality and global regulatory compliance.
For more i
nformation and to register\, click here.
Speakers:
Arieh Halpern\, Life Sciences Indust
ry\, Dassault Systemes
Barry Foster\, R&\;D Qualit
y &\;Compliance Director\, Dassault Systemes
Jim Brown\
, Founder and President Tech-Clarity  \; Register now
CATEGORIES:Education
UID:20171121T1802410Z-511394-1644@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171127T160000
DTEND;TZID=America/Sao_Paulo:20171127T170000
SUMMARY:Getting Started in LoRaWAN – Hands - On - Day 1
DESCRIPTION:LoRaWAN™ is a Low Power Wide Area Network (LPWAN) specification
intended for wireless battery operated things in a regional\, national or
global network. It promises to combine the long battery life of 802.15.4
networks with the wide area advantage s of cellular. But what is this tech
nology and how does it work? More important\, how can we design and develo
p products to use this new technology – particularly if we do not have a l
ocal LoRaWAN provider? Join us for this course as we look at the elemen ts
of LoRa and LoRaWAN\, the advantages (and disadvantages) of this protocol
\, and the tools we need to develop IoT solutions that use LoRa. We will a
lso look at how we can build our own LoRaWAN gateway using an inexpensive
kit for both development and for d eployment where a local LoRa provider d
oesn’t exist. November 27 – Day 1 – An Overview of Low-Power IoT Technolog
ies The Internet of Things\, with its billions of nodes\, will often requi
re a significant number of these nodes to be able to operate over battery
power\, perhaps for years between changes. The quest for a low-power techn
ology to enable this has produced a number of interesting solutions. In ou
r lead-off class we will look at these solutions and how LoRa fits in with
these.
X-ALT-DESC;FMTTYPE=text/html:LoRaWAN&trade\; is a Low Power Wide Area Ne
twork (LPWAN) specification intended for wireless battery operated things
in a regional\, national or global network. It promises to combine the lon
g battery life of 802.15.4 networks with the wide area advantage s of cell
ular. But what is this technology and how does it work? More important\, h
ow can we design and develop products to use this new technology &ndash\;
particularly if we do not have a local LoRaWAN provider? Join us f
or this course as we look at the elemen ts of LoRa and LoRaWAN\, the advan
tages (and disadvantages) of this protocol\, and the tools we need to deve
lop IoT solutions that use LoRa. We will also look at how we can build our
own LoRaWAN gateway using an inexpensive kit for both development and for
d eployment where a local LoRa provider doesn&rsquo\;t exist.
- The Internet of Things\, with its
billions of nodes\, will often require a significant number of these node
s to be able to operate over battery power\, perhaps for years between cha
nges. The quest for a low-power technology to enable this has produced a n
umber of interesting solutions. In our lead-off class we will look at thes
e solutions and how LoRa fits in with these. \;
CATEGORIES:Education
UID:20171121T1807310Z-511394-1645@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171128T160000
DTEND;TZID=America/Sao_Paulo:20171128T170000
SUMMARY:Getting Started in LoRaWAN – Hands - On - Day 2
DESCRIPTION:LoRaWAN™ is a Low Power Wide Area Network (LPWAN) specification
intended for wireless battery operated things in a regional\, national or
global network. It promises to combine the long battery life of 802.15.4
networks with the wide area advantage s of cellular. But what is this tech
nology and how does it work? More important\, how can we design and develo
p products to use this new technology – particularly if we do not have a l
ocal LoRaWAN provider? Join us for this course as we look at the elemen ts
of LoRa and LoRaWAN\, the advantages (and disadvantages) of this protocol
\, and the tools we need to develop IoT solutions that use LoRa. We will a
lso look at how we can build our own LoRaWAN gateway using an inexpensive
kit for both development and for d eployment where a local LoRa provider d
oesn’t exist. November 28 – Day 2 – Introduction to LoRa and LoRaWAN In ou
r second class\, we will look in detail at the way that LoRa and LoRaWAN o
perate and what we will need for a robust LoRaWAN system\, including the s
erver network.
X-ALT-DESC;FMTTYPE=text/html:LoRaWAN&trade\; is a Low Power Wide Area Ne
twork (LPWAN) specification intended for wireless battery operated things
in a regional\, national or global network. It promises to combine the lon
g battery life of 802.15.4 networks with the wide area advantage s of cell
ular. But what is this technology and how does it work? More important\, h
ow can we design and develop products to use this new technology &ndash\;
particularly if we do not have a local LoRaWAN provider? Join us f
or this course as we look at the elemen ts of LoRa and LoRaWAN\, the advan
tages (and disadvantages) of this protocol\, and the tools we need to deve
lop IoT solutions that use LoRa. We will also look at how we can build our
own LoRaWAN gateway using an inexpensive kit for both development and for
d eployment where a local LoRa provider doesn&rsquo\;t exist.
-
In o
ur second class\, we will look in detail at the way that LoRa and LoRaWAN
operate and what we will need for a robust LoRaWAN system\, including the
server network.
CATEGORIES:Education
UID:20171121T1808120Z-511394-1646@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171129T160000
DTEND;TZID=America/Sao_Paulo:20171129T170000
SUMMARY:Getting Started in LoRaWAN – Hands - On - Day 3
DESCRIPTION:LoRaWAN™ is a Low Power Wide Area Network (LPWAN) specification
intended for wireless battery operated things in a regional\, national or
global network. It promises to combine the long battery life of 802.15.4
networks with the wide area advantage s of cellular. But what is this tech
nology and how does it work? More important\, how can we design and develo
p products to use this new technology – particularly if we do not have a l
ocal LoRaWAN provider? Join us for this course as we look at the elemen ts
of LoRa and LoRaWAN\, the advantages (and disadvantages) of this protocol
\, and the tools we need to develop IoT solutions that use LoRa. We will a
lso look at how we can build our own LoRaWAN gateway using an inexpensive
kit for both development and for d eployment where a local LoRa provider d
oesn’t exist. November 29 – Day 3 – The Design of a LoRaWAN Node – Hands-O
n In our third class\, we will go through the process of designing a simpl
e system using LoRaWAN\, including a survey of what solutions are availabl
e to us. We will then design a simple node using our example board from ST
Micro
X-ALT-DESC;FMTTYPE=text/html:LoRaWAN&trade\; is a Low Power Wide Area Ne
twork (LPWAN) specification intended for wireless battery operated things
in a regional\, national or global network. It promises to combine the lon
g battery life of 802.15.4 networks with the wide area advantage s of cell
ular. But what is this technology and how does it work? More important\, h
ow can we design and develop products to use this new technology &ndash\;
particularly if we do not have a local LoRaWAN provider? Join us f
or this course as we look at the elemen ts of LoRa and LoRaWAN\, the advan
tages (and disadvantages) of this protocol\, and the tools we need to deve
lop IoT solutions that use LoRa. We will also look at how we can build our
own LoRaWAN gateway using an inexpensive kit for both development and for
d eployment where a local LoRa provider doesn&rsquo\;t exist.
- In our third class\, we will go thr
ough the process of designing a simple system using LoRaWAN\, including a
survey of what solutions are available to us. We will then design a simple
node using our example board from STMicro
CATEGORIES:Education
UID:20171121T1808500Z-511394-1647@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171130T160000
DTEND;TZID=America/Sao_Paulo:20171130T170000
SUMMARY:Getting Started in LoRaWAN – Hands - On - Day 4
DESCRIPTION:LoRaWAN™ is a Low Power Wide Area Network (LPWAN) specification
intended for wireless battery operated things in a regional\, national or
global network. It promises to combine the long battery life of 802.15.4
networks with the wide area advantage s of cellular. But what is this tech
nology and how does it work? More important\, how can we design and develo
p products to use this new technology – particularly if we do not have a l
ocal LoRaWAN provider? Join us for this course as we look at the elemen ts
of LoRa and LoRaWAN\, the advantages (and disadvantages) of this protocol
\, and the tools we need to develop IoT solutions that use LoRa. We will a
lso look at how we can build our own LoRaWAN gateway using an inexpensive
kit for both development and for d eployment where a local LoRa provider d
oesn’t exist. November 30 – Day 4 – No Service? No Problem! Building your
own LoRaWAN Server One of the challenges of implementing LoRaWAN is that t
here may not be the existing WAN structure available in either the develop
er’s area or in the customer’s vicinity. In our penultimate class\, we wil
l look at some solutions available to us for both off-the-shelf as well as
build-your-own servers.
X-ALT-DESC;FMTTYPE=text/html:LoRaWAN&trade\; is a Low Power Wide Area Ne
twork (LPWAN) specification intended for wireless battery operated things
in a regional\, national or global network. It promises to combine the lon
g battery life of 802.15.4 networks with the wide area advantage s of cell
ular. But what is this technology and how does it work? More important\, h
ow can we design and develop products to use this new technology &ndash\;
particularly if we do not have a local LoRaWAN provider? Join us f
or this course as we look at the elemen ts of LoRa and LoRaWAN\, the advan
tages (and disadvantages) of this protocol\, and the tools we need to deve
lop IoT solutions that use LoRa. We will also look at how we can build our
own LoRaWAN gateway using an inexpensive kit for both development and for
d eployment where a local LoRa provider doesn&rsquo\;t exist.
-
One of the challenges of impl
ementing LoRaWAN is that there may not be the existing WAN structure avail
able in either the developer&rsquo\;s area or in the customer&rsquo\;s vic
inity. In our penultimate class\, we will look at some solutions available
to us for both off-the-shelf as well as build-your-own servers.
div>
CATEGORIES:Education
UID:20171121T1809260Z-511394-1648@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171201T160000
DTEND;TZID=America/Sao_Paulo:20171201T170000
SUMMARY:Getting Started in LoRaWAN – Hands - On - Day 4
DESCRIPTION:LoRaWAN™ is a Low Power Wide Area Network (LPWAN) specification
intended for wireless battery operated things in a regional\, national or
global network. It promises to combine the long battery life of 802.15.4
networks with the wide area advantage s of cellular. But what is this tech
nology and how does it work? More important\, how can we design and develo
p products to use this new technology – particularly if we do not have a l
ocal LoRaWAN provider? Join us for this course as we look at the elemen ts
of LoRa and LoRaWAN\, the advantages (and disadvantages) of this protocol
\, and the tools we need to develop IoT solutions that use LoRa. We will a
lso look at how we can build our own LoRaWAN gateway using an inexpensive
kit for both development and for d eployment where a local LoRa provider d
oesn’t exist. December 1 – Day 5 – Testing Our LoRaWAN Design Now that we
have our low-power nodes and a test server\, in our final class we will te
st our LoRaWAN solution and look at ways we can optimize our battery life
and other parameters that we can improve in our solution.
X-ALT-DESC;FMTTYPE=text/html: LoRaWAN&trade\; is a Low Power Wide Area Ne
twork (LPWAN) specification intended for wireless battery operated things
in a regional\, national or global network. It promises to combine the lon
g battery life of 802.15.4 networks with the wide area advantage s of cell
ular. But what is this technology and how does it work? More important\, h
ow can we design and develop products to use this new technology &ndash\;
particularly if we do not have a local LoRaWAN provider? Join us f
or this course as we look at the elemen ts of LoRa and LoRaWAN\, the advan
tages (and disadvantages) of this protocol\, and the tools we need to deve
lop IoT solutions that use LoRa. We will also look at how we can build our
own LoRaWAN gateway using an inexpensive kit for both development and for
d eployment where a local LoRa provider doesn&rsquo\;t exist.
-
Now that we ha
ve our low-power nodes and a test server\, in our final class we will test
our LoRaWAN solution and look at ways we can optimize our battery life an
d other parameters that we can improve in our solution.
CATEGORIES:Education
UID:20171121T1809560Z-511394-1649@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171201T160000
DTEND;TZID=America/Sao_Paulo:20171201T170000
SUMMARY:12 Things You Should Consider When Choosing an Oscilloscope
DESCRIPTION:Summary Choosing an oscilloscope is a critical element in ensur
ing design integrity. In this webinar\, you will gain a better understandi
ng of the 12 most important criteria for choosing your next oscilloscope.
Join Wilson Lee\, Technical Marketing Manager at Tektronix\, as he discu
sses: How to avoid bandwidth measurement errors using the “5 Times Rule” A
n overview and benefits of versatile advanced triggering and decoding Usin
g automated waveform measurements to improve design efficiency REGISTER NO
W
X-ALT-DESC;FMTTYPE=text/html: Summary Choosing an os
cilloscope is a critical element in ensuring design integrity. In this web
inar\, you will gain a better understanding of the 12 most important crite
ria for choosing your next oscilloscope.  \; Join Wils
on Lee\, Technical Marketing Manager at Tektronix\, as he discusses:
- How to avoid bandwidth measurement errors using the &ldquo\;5 Ti
mes Rule&rdquo\;
- An overview and benefits of versatile advanced
triggering and decoding
- Using automated waveform measurements to
improve design efficiency
REGIS
TER NOW
CATEGORIES:Education
UID:20171121T1829330Z-511394-1651@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171201T160000
DTEND;TZID=America/Sao_Paulo:20171201T170000
SUMMARY:Measuring Distance with Light in the Automotive Industry: LiDAR\, R
adar\, and Cameras
DESCRIPTION:Whether it is a safety feature or a fully autonomous vehicle\,
information about the distance to other vehicles on the road\, unexpected
road obstacles\, or permanent structures near the road is of paramount imp
ortance. This webinar discusses methods of measuring distance with light (
emphasizing Time of Flight LiDAR) that either are or have the potential to
be employed by the industry. Automotive IQ has collaborated with experts
at Hamamatsu for this webinar which is to take place on December 6th at 11
:00 AM EST\, and will give you a technical insight into measuring distance
with light in the automotive industry. In this webinar\, we will cover: T
ime of flight (ToF) light detection and ranging (LiDAR) and radar Physics
concept Why we need LiDAR Design challenges: Wavelength\, light source\, p
hotodetector detector\, and beam steering Types of ToF LiDAR: Mechanical\,
flash\, optical phase array\, and more Frequency modulated continuous w
ave (FMCW) radar Physics concept Comparison with ToF radar FMCW LiDAR Op
tical mixing Amplitude chirp-modulation with electrical mixing Phase compa
rison LiDAR Stereovision: Active and passive triangulation
X-ALT-DESC;FMTTYPE=text/html: Whether it is a safety feature or a fully autonomous v
ehicle\, information about the distance to other vehicles on the road\, un
expected road obstacles\, or permanent structures near the road is of para
mount importance. This webinar discusses methods of measuring distance wit
h light (emphasizing Time of Flight LiDAR) that either are or have the pot
ential to be employed by the industry. Automotive IQ has&nb
sp\;collaborated \;with experts at Hamamatsu for this webinar \;which is to take place on December 6th at 11:00 AM EST\,
and will give you a technical insight into measuring distance with light
in the automotive industry.<
br /> In this webinar\, we will cover: - Time of flight (ToF) light detec
tion and ranging (LiDAR) and radar
- Physics concept
- Why we need LiDAR
- Design challenges: Wavelength\, li
ght source\, photodetector detector\, and beam steering
- Type
s of ToF LiDAR: Mechanical\, flash\, optical phase array\, and more
 \; - Frequency modulate
d continuous wave (FMCW) radar
- Physics concept
span>
- Comparison with ToF radar
 \; - FMCW LiDAR
- Optical mixing
- Amplitude chirp-modulation with electrical mixing
<
li>Phase co
mparison LiDAR  \;
- Stereo
vision: Active and passive triangulation
CATEGORIES:Education
UID:20171121T1831580Z-511394-1653@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170628T160000
DTEND;TZID=America/Sao_Paulo:20170628T170000
SUMMARY:Addressing Crosstalk Challenges from Design Simulation to Actual Bo
ard Analysis and Debug
DESCRIPTION:Crosstalk is becoming a big challenge in today’s designs due to
higher signal transfer rate in very compact designs. It can corrupt the d
ata transmission\, close the signal eye opening\, add jitter to the signal
\, and increase bit errors. Learn best practices for overcoming these chal
lenges. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Crosstalk is becoming a big challenge in to
day&rsquo\;s designs due to higher signal transfer rate in very compact de
signs. It can corrupt the data transmission\, close the signal eye opening
\, add jitter to the signal\, and increase bit errors. Learn best practice
s for overcoming these challenges.  \; REGISTER<
/strong>
CATEGORIES:Education
UID:20170610T2009440Z-511394-1439@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170622T170000
DTEND;TZID=America/Sao_Paulo:20170622T180000
SUMMARY:Driving Digital Transformation Across The Product Lifecycle
DESCRIPTION:Description: Product Complexity & Customization\, Global Compet
ition\, Regulatory pressures and Industry 4.0 are some of the key trends a
ffecting Manufacturers today. Transforming your business into a next-gener
ation High Performance Digital Enterprise is a challenge that most compani
es are contending with. Siemens is at the forefront of making the Digital
Enterprise a reality for its customers’ end to end Product Lifecycle. By e
stablishing the Digital Twin of the Product\, Process and Production\, Sie
mens is helping our customers deliver their vision of the Digital Enterpri
se. In this Webinar\, you will hear Siemens’ customers discuss the steps t
hey have taken towards transforming their business and end to end processe
s to enable a truly Digital Transformation. Attendees will learn: The impa
ct of current industry trends on your business Organizational processes an
d best practices for a holistic approach to The Digital Enterprise. Custom
er examples that demonstrate how technology can be the catalyst that drive
s Digital Transformation. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Description: Produ
ct Complexity &\; Customization\, Global Competition\, Regulatory press
ures and Industry 4.0 are some of the key trends affecting Manufacturers t
oday. Transforming your business into a next-generation High Performance D
igital Enterprise is a challenge that most companies are contending with.<
/p> Siemens is at the forefront of making the Digital Enterprise a rea
lity for its customers&rsquo\; end to end Product Lifecycle. By establishi
ng the Digital Twin of the Product\, Process and Production\, Siemens is h
elping our customers deliver their vision of the Digital Enterprise.
In this Webinar\, you will hear Siemens&rsquo\; customers discuss the s
teps they have taken towards transforming their business and end to end pr
ocesses to enable a truly Digital Transformation. Attendees will l
earn: - The impact of current industry trends on your busines
s
- Organizational processes and best practices for a holistic app
roach to The Digital Enterprise.
- Customer examples that demonstr
ate how technology can be the catalyst that drives Digital Transformation.
REGISTER
strong>
CATEGORIES:Education
UID:20170610T2004280Z-511394-1437@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170620T160000
DTEND;TZID=America/Sao_Paulo:20170620T170000
SUMMARY:How will you Handle the Interference of Things Caused by Medical/Io
t Devices?
DESCRIPTION:The common wireless frequencies are just that\, common. They ca
n cause crowding in the ISM bands which are used by WiFi\, Bluetooth and s
everal other IoT protocols. Many of the existing standards for common devi
ces in these bands do not always play well together\, causing a variety of
challenges. New test methods for medical device RF coexistence test are o
n the horizon (ANSI C63.27). Keysight and Circuit Check are here to help y
ou understand these new test methods for DVT\, and how those measurement m
ethods can help you in production test. Who should view this webcast: Desi
gn validation\, R&D and test engineers who develop portable\, implantable\
, or other medical devices. REGISTER
X-ALT-DESC;FMTTYPE=text/html: The common wireless frequencies are just that\, common. They can c
ause crowding in the ISM bands which are used by WiFi\, Bluetooth and seve
ral other IoT protocols. Many of the existing standards for common devices
in these bands do not always play well together\, causing a variety of ch
allenges. New test methods for medical device RF coexistence test are on t
he horizon (ANSI C63.27). Keysight and Circuit Check are here to help you
understand these new test methods for DVT\, and how those measurement meth
ods can help you in production test. Who should view this webcast: Design validat
ion\, R&\;D and test engineers who develop portable\, implantable\, or
other medical devices.  \;
CATEGORIES:Education
UID:20170610T2001070Z-511394-1436@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170621T150000
DTEND;TZID=America/Sao_Paulo:20170621T160000
SUMMARY:MCU-Base IoT Designs: Power Management - Day 3
DESCRIPTION:MCUs are a basic building block for IoT designs. We often find
MCUs being used for power management. MCU manufacturers have given MCUs sp
ecific capabilities to manage power\, but often external components are re
quired as well. This course will provide an overview and will illustrate\,
by using example designs\, some of the most common and successful techniq
ues in power management designs. June 21 - Day 3 - Battery and Energy Harv
esting Register Now This class will provide descriptions and examples of b
attery and energy harvesting based power delivery and management.
X-ALT-DESC;FMTTYPE=text/html: MCUs are a ba
sic building block for IoT designs. We often find MCUs being used for powe
r management. MCU manufacturers have given MCUs specific capabilities to m
anage power\, but often external components are required as well.
This course will provide an overview and will illustrate\, by using exa
mple designs\, some of the most common and successful techniques in power
management designs. -
Thi
s class will provide descriptions and examples of battery and energy harve
sting based power delivery and management. <
/ul>
CATEGORIES:Education
UID:20170620T1754370Z-511394-1442@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170619T150000
DTEND;TZID=America/Sao_Paulo:20170619T160000
SUMMARY:MCU-Base IoT Designs: Power Management - Day 1
DESCRIPTION:MCUs are a basic building block for IoT designs. We often find
MCUs being used for power management. MCU manufacturers have given MCUs sp
ecific capabilities to manage power\, but often external components are re
quired as well. This course will provide an overview and will illustrate\,
by using example designs\, some of the most common and successful techniq
ues in power management designs. June 19 - Day 1 - An Introduction to MCU-
Based IoT Designs MCUs are the bricks on which the IoT is being built. Thi
s class will provide the background needed to understand why MCUs are used
and how common IoT functions\, such as power management\, are implemented
.
X-ALT-DESC;FMTTYPE=text/html:MCUs are a ba
sic building block for IoT designs. We often find MCUs being used for powe
r management. MCU manufacturers have given MCUs specific capabilities to m
anage power\, but often external components are required as well.
This course will provide an overview and will illustrate\, by using exa
mple designs\, some of the most common and successful techniques in power
management designs. -
MCUs are the bricks
on which the IoT is being built. This class will provide the background n
eeded to understand why MCUs are used and how common IoT functions\, such
as power management\, are implemented.
CATEGORIES:Education
UID:20170620T1753170Z-511394-1440@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170620T150000
DTEND;TZID=America/Sao_Paulo:20170620T160000
SUMMARY:MCU-Base IoT Designs: Power Management - Day 2
DESCRIPTION:MCUs are a basic building block for IoT designs. We often find
MCUs being used for power management. MCU manufacturers have given MCUs sp
ecific capabilities to manage power\, but often external components are re
quired as well. This course will provide an overview and will illustrate\,
by using example designs\, some of the most common and successful techniq
ues in power management designs. June 20 - Day 2 - Power Delivery for MCUs
This class will provide descriptions and examples of MCU features typical
ly used to manage power to the MCU itself.
X-ALT-DESC;FMTTYPE=text/html:MCUs are a ba
sic building block for IoT designs. We often find MCUs being used for powe
r management. MCU manufacturers have given MCUs specific capabilities to m
anage power\, but often external components are required as well.
This course will provide an overview and will illustrate\, by using exa
mple designs\, some of the most common and successful techniques in power
management designs.
CATEGORIES:Education
UID:20170620T1754040Z-511394-1441@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170622T150000
DTEND;TZID=America/Sao_Paulo:20170622T160000
SUMMARY:MCU-Base IoT Designs: Power Management - Day 4
DESCRIPTION:MCUs are a basic building block for IoT designs. We often find
MCUs being used for power management. MCU manufacturers have given MCUs sp
ecific capabilities to manage power\, but often external components are re
quired as well. This course will provide an overview and will illustrate\,
by using example designs\, some of the most common and successful techniq
ues in power management designs. June 22 - Day 4 - External Memory and Ext
ernal Devices This class will provide descriptions and examples of some of
the common techniques for managing components tightly coupled with the MC
U- such as memory\, sensors\, analog components and digital communications
links.
X-ALT-DESC;FMTTYPE=text/html:MCUs are a ba
sic building block for IoT designs. We often find MCUs being used for powe
r management. MCU manufacturers have given MCUs specific capabilities to m
anage power\, but often external components are required as well.
This course will provide an overview and will illustrate\, by using exa
mple designs\, some of the most common and successful techniques in power
management designs. -
This class will provide descriptions and examples
of some of the common techniques for managing components tightly coupled
with the MCU- such as memory\, sensors\, analog components and digital com
munications links.
CATEGORIES:Education
UID:20170620T1755270Z-511394-1443@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170623T150000
DTEND;TZID=America/Sao_Paulo:20170623T160000
SUMMARY:MCU-Base IoT Designs: Power Management - Day 5
DESCRIPTION:MCUs are a basic building block for IoT designs. We often find
MCUs being used for power management. MCU manufacturers have given MCUs sp
ecific capabilities to manage power\, but often external components are re
quired as well. This course will provide an overview and will illustrate\,
by using example designs\, some of the most common and successful techniq
ues in power management designs. June 23 - Day 5 - Board Level Power Manag
ement This class will focus on managing power to the rest of the board. Ex
ternal devices and common techniques will be illustrated using example des
igns.
X-ALT-DESC;FMTTYPE=text/html:MCUs are a ba
sic building block for IoT designs. We often find MCUs being used for powe
r management. MCU manufacturers have given MCUs specific capabilities to m
anage power\, but often external components are required as well.
This course will provide an overview and will illustrate\, by using exa
mple designs\, some of the most common and successful techniques in power
management designs. -
This clas
s will focus on managing power to the rest of the board. External devices
and common techniques will be illustrated using example designs.
div>
CATEGORIES:Education
UID:20170620T1756070Z-511394-1444@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170628T150000
DTEND;TZID=America/Sao_Paulo:20170628T160000
SUMMARY:What You Need to Know About ASTM D4169
DESCRIPTION:WESTPAK's protective package system testing professionals prese
nt a webinar focused on ASTM D4169. This Webinar discusses: ASTM D4169 : A
Historical Perspective Review of Protective Package Testing Protocols pre
-ASTM D4169 Overview and Significance of D4169's Initial Release Comments
on Test Industry Acceptance of the Original Document ASTM D4169-16 : Overv
iew and Significance Comparison of Test Inputs D4169-14 vs D4169-16 - What
Changed\, What Didn't\, and Why WESTPAK's Frank Commentary on the -16 Rev
ision Steps To Take if Products or Packages Fail the -16 Revision Conclusi
ons and Recommendations Register Now →
X-ALT-DESC;FMTTYPE=text/html: WESTPAK's protective package system testing
professionals present a webinar focused on ASTM D4169.
This
Webinar discusses:
ASTM D4169 : A Historical Perspect
ive - Review of Protective Package Testing Protocols
pre-ASTM D4169
- Overview and Significance of D4169's Initial Rel
ease
- Comments on Test Industry Acceptance of the Original Docume
nt
ASTM D4169-16 : Overview and Significance - Comparison of Test Inputs D4169-14 vs D4169-16 - What C
hanged\, What Didn't\, and Why
- WESTPAK's Frank Commentary on the
-16 Revision
- Steps To Take if Products or Packages Fail the -16
Revision
- Conclusions and Recommendations
Register Now &rarr\;
CATEGORIES:Education
UID:20170620T1806040Z-511394-1447@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170718T150000
DTEND;TZID=America/Sao_Paulo:20170718T160000
SUMMARY: New Heater Technology Reduces Space\, Weight and Failure
DESCRIPTION:The SmartHeat SLT Thin-Film heater is a new and unique self-lim
iting heater solution. Minco’s SmartHeat regulates temperature at the mole
cular level\, eliminating the need for separate sensors and controllers wh
ich minimizes points of failure and reduces the total system footprint for
space and weight sensitive applications. SmartHeat is designed to maintai
n a constant temperature\, requires no programming\, and simplifies end-de
vice assembly. In this Webinar\, you will learn: How the conductive polyme
r matrix regulates temperature What quantum tunneling does to prevent the
device from overheating Types of applications best suited for this new hea
ter technology Register Now →
X-ALT-DESC;FMTTYPE=text/html: The SmartHeat SLT Thin-Film heater is a new
and unique self-limiting heater solution. Minco&rsquo\;s SmartHeat regula
tes temperature at the molecular level\, eliminating the need for separate
sensors and controllers which minimizes points of failure and reduces the
total system footprint for space and weight sensitive applications.
SmartHeat is designed to maintain a constant temperature\, require
s no programming\, and simplifies end-device assembly.
In thi
s Webinar\, you will learn:
- How the conductive
polymer matrix regulates temperature
- What quantum tunneling doe
s to prevent the device from overheating
- Types of applications b
est suited for this new heater technology
 \;
CATEGORIES:Education
UID:20170620T1834270Z-511394-1453@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170622T120000
DTEND;TZID=America/Sao_Paulo:20170622T130000
SUMMARY:Powering the Industrial Internet of Things - Class 3
DESCRIPTION:Don’t confuse the Internet of Things (IoT) with the Industrial
IoT (IIoT)\, which typically has much more stringent characteristics. That
’s because the IIoT usually involves some sort of manufacturing\, robotics
\, or other mission-critical application\, where down-time can be measured
in dollars\, often lots of them. In Day 3 of this three-day class\, we’ll
look at how to best power your IIoT application\, which could include FPG
A power and design considerations for high-speed RS-485 data links. The cl
ass will use the Intersil PowerCompass tool to help simplify the design. D
ay 3 sponsored by Intersil. REGISTER FOR CLASS 3 NOW!
X-ALT-DESC;FMTTYPE=text/html: Don&rsquo\;t confuse the Internet of Things
(IoT) with the Industrial IoT (IIoT)\, which typically has much more stri
ngent characteristics. That&rsquo\;s because the IIoT usually involves som
e sort of manufacturing\, robotics\, or other mission-critical application
\, where down-time can be measured in dollars\, often lots of them. In Day
3 of this three-day class\, we&rsquo\;ll look at how to best power your I
IoT application\, which could include FPGA power and design considerations
for high-speed RS-485 data links. The class will use the Intersil PowerCo
mpass tool to help simplify the design. Day 3 sponsored by Intersil.
 \; REGISTER FOR CLASS 3 NOW!
CATEGORIES:Education
UID:20170620T1840360Z-511394-1455@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170621T160000
DTEND;TZID=America/Sao_Paulo:20170621T170000
SUMMARY:Módulos de LED retrofit para aplicações profissionais
DESCRIPTION:
X-ALT-DESC;FMTTYPE=text/html:
CATEGORIES:Education
UID:20170620T1843450Z-511394-1456@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170620T120000
DTEND;TZID=America/Sao_Paulo:20170620T130000
SUMMARY:Designing for Safety in Battery-powered Applications - Class 1
DESCRIPTION:Recent events have proven that you can never be too careful whe
n you’re designing batteries into your systems\, and that covers everythin
g from wearables to hybrid/EV battery packs. In Day 1 of this three-day cl
ass\, we’ll look at how to make your battery packs safer\; how to build a
USB-to-controller interface that “talks” to a power device\; and how to ex
tend battery life in wearable devices. The class concludes with a question
and answer period between the attendees and the expert instructor. Day 1
sponsored by Intersil. REGISTER NOW FOR CLASS 1
X-ALT-DESC;FMTTYPE=text/html: Recent events have proven that you can neve
r be too careful when you&rsquo\;re designing batteries into your systems\
, and that covers everything from wearables to hybrid/EV battery packs. In
Day 1 of this three-day class\, we&rsquo\;ll look at how to make your bat
tery packs safer\; how to build a USB-to-controller interface that &ldquo\
;talks&rdquo\; to a power device\; and how to extend battery life in weara
ble devices. The class concludes with a question and answer period between
the attendees and the expert instructor. Day 1 sponsored by Intersil.
 \; REGISTER NOW FOR CLASS 1
CATEGORIES:Education
UID:20170620T1848210Z-511394-1457@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180207T140000
DTEND;TZID=America/Sao_Paulo:20180207T150000
SUMMARY:Redes Mesh para Monitoramento e Controle de Iluminação
DESCRIPTION: Resumo do Webinar Para alcançar o mercado de forma mais rápid
a e robusta é preciso contar com ferramentas e protocolos que facilitem o
desenvolvimento de suas soluções. Neste webinar você será apresentado aos
mais modernos kits de desenvolvimento e protocolos de rede mesh já desenvo
lvidos pela Microchip. Objetivo do Webinar Entender como projetar um dispo
sitivo sem fio e publicar seus serviços nas nuvens para monitoramento e co
ntrole remoto. Se registre para participar AQUI.
X-ALT-DESC;FMTTYPE=text/html:  \; Resumo do Webinar Para alcanç\;ar o mercado de forma mais rá\;pida e robus
ta é\; preciso contar com ferramentas e protocolos que facilitem o d
esenvolvimento de suas soluç\;õ\;es. Neste webinar você\;
será\; apresentado aos mais modernos kits de desenvolvimento e prot
ocolos de rede mesh já\; desenvolvidos pela Microchip. Objetivo do Webinar Entender como projetar um dispositivo sem fio e publicar seus s
erviç\;os nas nuvens para monitoramento e controle remoto. &
nbsp\; Se r
egistre para participar AQUI.<
/span>
CATEGORIES:Education
UID:20180206T1915500Z-511394-1687@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170926T120000
DTEND;TZID=America/Sao_Paulo:20170926T130000
SUMMARY:3D imaging methods and benefits Q&A
DESCRIPTION:3D imaging technologies can be used in various applications in
machine vision and imaging. In this Q&A webcast\, Jared Glover\, Co-Founde
r of CapSen Robotics will answer your questions regarding 3D imaging\, inc
luding the techniques and applications listed above in a live question-and
-answer style webcast. What You'll Learn: • Various methods of 3D imaging
• Benefits of using 3D imaging • What is possible with 3D imaging REGISTER
HERE!
X-ALT-DESC;FMTTYPE=text/html: 3D imaging technologies can be used in various applications in machin
e vision and imaging.
In this Q&\;A webcast\, Jared Glover\
, Co-Founder of CapSen Robotics will answer your questions regarding 3D im
aging\, including the techniques and applications listed above in a live q
uestion-and-answer style webcast. &bull\; | <
td style='padding-bottom: 3px\;' valign='top' width='368'>Various methods
of 3D imaging &bull\; | Benefits of using 3D imaging |
tr> &bull\; | What is possible with 3D imaging |
tbody>
 \;
CATEGORIES:Education
UID:20170923T2344440Z-511394-1572@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180808T120000
DTEND;TZID=America/Sao_Paulo:20180808T130000
SUMMARY:Infrared imaging Q&A webcast: Latest applications\, technology\, ch
allenges
DESCRIPTION:In a free Q&A webcast on August 8\, Markus Tarin\, President an
d CEO\, MoviTHERM\, will answer questions regarding the deployment of infr
ared imaging technology\, including the types of cameras and detectors ava
ilable and how these can impact system performance\, as well as some of th
e latest products and applications today. What You'll Learn: • Different a
pplications of infrared imaging • Examples of infrared imaging products av
ailable today • The latest in infrared imaging technology and capabilities
• The challenges presented by using infrared imaging technology Who Sho
uld Attend: • Anyone wanting to learn about infrared imaging • Scientists\
, engineers\, systems integrators • Integrators/users who require a more i
n-depth understanding of infrared imaging • Those considering infrared ima
ging in future projects • End users/OEMs REGISTER
X-ALT-DESC;FMTTYPE=text/html:In a free Q&\;A webcast on August 8\, Ma
rkus Tarin\, President and CEO\, MoviTHERM\, will answer questions regardi
ng the deployment of infrared imaging technology\, including the types of
cameras and detectors available and how these can impact system performanc
e\, as well as some of the latest products and applications today. What You'll
Learn: | <
tbody> &bull\; | Different applications of infrared imaging | <
td valign='top'>&bull\; Examples of infrared imagin
g products available today | &bull\; | The latest in infrared imaging technology and capabili
ties | &bull\; | Th
e challenges presented by using infrared imaging technology | <
/tbody>  \; &bull\; | Anyone wanting to learn ab
out infrared imaging | &bull\; | Scientists\, engineers\, systems integrators | &bull\; | Integrators/users who
require a more in-depth understanding of infrared imaging | &bull\; | Those considering infra
red imaging in future projects | &bull\;
| End users/OEMs | | REGISTER
| |
CATEGORIES:Education
UID:20180725T1904590Z-511394-1814@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180822T150000
DTEND;TZID=America/Sao_Paulo:20180822T160000
SUMMARY:TI and AWS showcase SimpleLink™ Wi-Fi and Amazon FreeRTOS: OTA Upda
te Security and Reliability
DESCRIPTION: OTA (Over-The-Air) updates are essential for connected devi
ces. Product defects or security flaws can be quickly patched remotely and
new product features added to create new revenue streams. However there i
s a catch: OTA updates provide a vehicle for malware to reach IoT devices\
, compromising security for customers and providers alike. In addition\, t
he OTA update itself might contain a bug that results in ‘bricking’ the Io
T device. It is critical for any OTA update implementation to minimize the
chance of these negatives actually happening. Amazon Web Services and Tex
as Instruments join together in this webcast to identify key security and
reliability concerns for an OTA update implementation. Join us to see a cl
oud-to-IoT device OTA update solution\, featuring Amazon FreeRTOS and the
SimpleLink CC3220 Wi-Fi Microcontroller that will let you leave you worrie
s behind. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; |
|
tbody>  \; OTA (Over-The-Air) updates are essent
ial for connected devices. Product defects or security flaws can be quickl
y patched remotely and new product features added to create new revenue st
reams. However there is a catch: OTA updates provide a vehicle for malware
to reach IoT devices\, compromising security for customers and providers
alike. In addition\, the OTA update itself might contain a bug that result
s in &lsquo\;bricking&rsquo\; the IoT device. It is critical for any OTA u
pdate implementation to minimize the chance of these negatives actually ha
ppening. Amazon Web Services and Texas Instruments join together i
n this webcast to identify key security and reliability concerns for an OT
A update implementation. Join us to see a cloud-to-IoT device OTA update s
olution\, featuring Amazon FreeRTOS and the SimpleLink CC3220 Wi-Fi Microc
ontroller that will let you leave you worries behind. \;  
\; REGISTER NOW
CATEGORIES:Education
UID:20180725T1907080Z-511394-1815@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180726T120000
DTEND;TZID=America/Sao_Paulo:20180726T130000
SUMMARY:The Hidden Cost of Your Isolated System Design
DESCRIPTION:Vehicle electrification\, the consumer IoT\, Industry 4.0\, and
technology convergence are driving increased complexity in isolated syste
m design. The electrical isolation that keeps both systems and their users
safe cannot be compromised\, but changes in electromagnetic compatibility
(EMC) and safety certification requirements create risk and drive up deve
lopment costs. Tune in to our webcast to hear David Carr\, Applications
Engineering Manager at Analog Devices\, review the market and technology t
rends\, survey the regulatory landscape\, and present solutions for isolat
ed power delivery that address the challenges cost-effectively. Register T
oday
X-ALT-DESC;FMTTYPE=text/html:Vehicle electrification\, the consumer IoT\
, Industry 4.0\, and technology convergence are driving increased complexi
ty in isolated system design. The electrical isolation th
at keeps both systems and their users safe cannot be compromised\, but cha
nges in electromagnetic compatibility (EMC) and safety certification requi
rements create risk and drive up development costs.  \; Tune in to our webcast \;to hear David Carr\, Applicati
ons Engineering Manager at Analog Devices\, review the market and technolo
gy trends\, survey the regulatory landscape\, and present solution
s for isolated power delivery that address the challenges cost-effectively.
CATEGORIES:Education
UID:20180725T1908090Z-511394-1816@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180726T160000
DTEND;TZID=America/Sao_Paulo:20180726T170000
SUMMARY:Cloud impacts supervisory control\, MES\, and ERP software markets
DESCRIPTION:With the cloud\, goods producers have improved ways to finance\
, implement\, operate\, and manage their most important industrial softwar
e applications\, including: Enterprise resources planning (ERP) Manufactur
ing execution systems (MES) Supervisory control and data acquisition (SCAD
A). The cloud referred to\, obviously\, is the one that involves use of a
network of remote servers hosted on the Internet to store\, manage and pro
cess data\, rather than a local server or PC. Tomorrow's webcast produce
d by CFE Media will include expert panel discussions that highlight the im
pact cloud is having on industrial software markets and the opportunity th
is evolution offers for investment in productivity improvement on the part
of CFE's subscribers and listeners to its webcasts. By 2020\, 36% of en
terprises will use cloud to support more than half of their transactional
systems of record\, says market research firm Gartner. Running industria
l applications in the cloud changes a capital investment into an operating
expense. IT support becomes a contracted service. The cloud allows indust
ry to focus on the business instead of expending resources on computer inf
rastructure and maintenance. Updates are securely managed\, and applicatio
ns stay up-to-date. Small-to-midsize enterprises benefit from infrastructu
re and applications that support the business\, without straining nonessen
tial resources. Smaller and mid-size companies are adopting cloud even m
ore rapidly than the overall market\, with more than 44% of smaller\, more
than 37% of midsized\, and about 40% of large organizations planning to m
ove to the cloud over the next three years\, according to Gartner. Join us
to hear more tomorrow at 2 p.m. ET. CLICK HERE TO REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: With the cloud\, goods producers have improved ways to
finance\, implement\, operate\, and manage their most important industria
l software applications\, including: - Enterprise resources p
lanning (ERP)
- Manufacturing execution systems (MES)
- Su
pervisory control and data acquisition (SCADA).
The cloud
referred to\, obviously\, is the one that involves use of a network of rem
ote servers hosted on the Internet to store\, manage and process data\, ra
ther than a local server or PC.  \; Tomorrow's webcast
produced by CFE Media will include expert panel discussions that highligh
t the impact cloud is having on industrial software markets and the opport
unity this evolution offers for investment in productivity improvement on
the part of CFE's subscribers and listeners to its webcasts.  
\; By 2020\, 36% of enterprises will use cloud to support more tha
n half of their transactional systems of record\, says market research fir
m Gartner.  \; Running industrial applications in the
cloud changes a capital investment into an operating expense. IT support b
ecomes a contracted service. The cloud allows industry to focus on the bus
iness instead of expending resources on computer infrastructure and mainte
nance. Updates are securely managed\, and applications stay up-to-date. Sm
all-to-midsize enterprises benefit from infrastructure and applications th
at support the business\, without straining nonessential resources. <
p> \; Smaller and mid-size companies are adopting cloud even m
ore rapidly than the overall market\, with more than 44% of smaller\, more
than 37% of midsized\, and about 40% of large organizations planning to m
ove to the cloud over the next three years\, according to Gartner. Join us to hear more tomorrow
at 2 p.m. ET.  \; CLICK HERE TO REGISTER NOW
CATEGORIES:Education
UID:20180725T1910440Z-511394-1817@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180726T140000
DTEND;TZID=America/Sao_Paulo:20180726T150000
SUMMARY:Key Metrics for Product Development Success – KPIs to Improve Speed
\, Quality and Predictability
DESCRIPTION: Hello there\, In the race to release products faster\, leader
s of software and hardware teams may wonder why they’re not seeing the int
ended results. Applying analytics to your development process adds a great
er dimension of visibility\, allowing you to reveal roadblocks\, improve p
erformance and achieve more predictability. On Thursday\, July 26\, join u
s for a discussion about why tracking the metrics that matter to your team
\, product and company translates to greater success. We’ll cover: How and
where to get started with tracking team metrics Ways data and analytics p
roduce the greatest opportunities for the biggest results Why joy is a rea
l KPI your company should be tracking Reserve Your Spot
X-ALT-DESC;FMTTYPE=text/html: \;
<
div> Hello there\, In the race to release products faster\, le
aders of software and hardware teams may wonder why they&rsquo\;re not see
ing the intended results. Applying analytics to your development p
rocess adds a greater dimension of visibility\, allowing you to reveal roa
dblocks\, improve performance and achieve more predictability. On
Thursday\, July 26\, join us for a discussion about why tracking the metri
cs that matter to your team\, product and company translates to greater su
ccess. We&rsquo\;ll cover: - How and where to get started wit
h tracking team metrics
- Ways data and analytics produce the grea
test opportunities for the biggest results
- Why joy is a real KPI
your company should be tracking
|  \; |  \; | |
CATEGORIES:Education
UID:20180725T1914070Z-511394-1819@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180726T150000
DTEND;TZID=America/Sao_Paulo:20180726T160000
SUMMARY:ROI-Based Smart Manufacturing
DESCRIPTION:As prices come down on sensors\, robotics\, and other essential
s in smart manufacturing\, these advanced automation tools are becoming av
ailable to wider range of companies in manufacturing\, packaging\, medical
production\, even agriculture. This webinar will look at a variety of sma
rt manufacturing advances from an ROI standpoint. Design News Senior Edito
r Rob Spiegel will take attendees through the types of smart manufacturing
that are becoming affordable to small- and mid-size companies. Attend thi
s webinar and learn: How smart manufacturing is becoming more affordable
The three types of affordable robots: mobile\, fixed arms\, and collabo
rative How advanced manufacturing technology is earning its ROI New de
velopments in predictive maintenance How to create a smart-manufacturing
plan\, ROI by ROI Register Now!
X-ALT-DESC;FMTTYPE=text/html: As prices come
down on sensors\, robotics\, and other essentials in smart manufacturing\
, these advanced automation tools are becoming available to wider range of
companies in manufacturing\, packaging\, medical production\, even agricu
lture. This webinar will look at a variety of smart manufacturing advances
from an ROI standpoint. Design News Senior Editor Rob Spiegel will take a
ttendees through the types of smart manufacturing that are becoming afford
able to small- and mid-size companies. Attend this webinar and le
arn: |  \; | How smart manufacturing is becoming more affordable |
|  \; | The three types of affordable robots: mobile\, fixe
d arms\, and collaborative | |  \; | How a
dvanced manufacturing technology is earning its ROI | |  \; |
New developments in predictive maintenance |
| &nb
sp\; | How to create a smart-manufacturing plan\,
ROI by ROI | | | <
/tbody>
CATEGORIES:Education
UID:20180725T1915510Z-511394-1820@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180809T150000
DTEND;TZID=America/Sao_Paulo:20180809T160000
SUMMARY:Comparing Low Power IoT Connectivity Solutions
DESCRIPTION:Summary: Do you ever feel overwhelmed by the amount of connecti
vity options when creating your applications? Join us for a 15-30 minutes
Q/A discussion on the different connectivity solutions available\, and wha
t is best for common applications. >> Read More
X-ALT-DESC;FMTTYPE=text/html:Summary: Do you ever feel overwhelm
ed by the amount of connectivity options when creating your applications?
Join us for a 15-30 minutes Q/A discussion on the different connectivity s
olutions available\, and what is best for common applications. &nb
sp\;>\;>\; Read More
CATEGORIES:Education
UID:20180805T2112490Z-511394-1833@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180829T150000
DTEND;TZID=America/Sao_Paulo:20180829T160000
SUMMARY:ARM Your Sensors - Day 3
DESCRIPTION:Each day of this lecture series will detail the creation of a s
ensor platform based on an ARM microcontroller. Prototypes and reference d
esigns discussed in the lectures use Digi-Key off-the-shelf components and
can be easily customized to meet the attendee’s specific design goals. Au
gust 29 – Day 3 –Coding an ARMED and Secure IoT Sensor Node Remember the
casino fishtank sensor hack? This lecture will show you how to keep your
IoT sensor device from “tanking” by ARMING it with an Atmel SAM L11.
X-ALT-DESC;FMTTYPE=text/html:Each day of this lecture series will detail
the creation of a sensor platform based on an ARM microcontroller. Protot
ypes and reference designs discussed in the lectures use Digi-Key off-the-
shelf components and can be easily customized to meet the attendee&rsquo\;
s specific design goals.
CATEGORIES:Education
UID:20180726T1654520Z-511394-1826@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180831T150000
DTEND;TZID=America/Sao_Paulo:20180831T160000
SUMMARY:ARM Your Sensors - Day 5
DESCRIPTION:Each day of this lecture series will detail the creation of a s
ensor platform based on an ARM microcontroller. Prototypes and reference d
esigns discussed in the lectures use Digi-Key off-the-shelf components and
can be easily customized to meet the attendee’s specific design goals. Au
gust 31 – Day 5 – Prototyping an ARMED Connectable Sensor Node What do y
ou get when you ARM a postage stamp-sized sensor platform with a microphon
e\, an accelerometer\, a gyroscope\, a magnetometer\, a barometer\, and a
Bluetooth radio? (Hint: Today’s lecture title)
X-ALT-DESC;FMTTYPE=text/html:Each day of this lecture series will detail
the creation of a sensor platform based on an ARM microcontroller. Protot
ypes and reference designs discussed in the lectures use Digi-Key off-the-
shelf components and can be easily customized to meet the attendee&rsquo\;
s specific design goals. -
What do you get when you AR
M a postage stamp-sized sensor platform with a microphone\, an acceleromet
er\, a gyroscope\, a magnetometer\, a barometer\, and a Bluetooth radio? (
Hint: Today&rsquo\;s lecture title)
CATEGORIES:Education
UID:20180726T1651550Z-511394-1824@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180802T130000
DTEND;TZID=America/Sao_Paulo:20180802T140000
SUMMARY:Serial NAND vs. SPI NOR: Quality\, Cost\, and Read/Write Throughput
DESCRIPTION:New emerging applications need robust 512-Mb to 2-Gb Flash memo
ries for storing boot code and large application code. But NOR Flash start
s getting very expensive after 256-Mb density\, and therefore\, system arc
hitects must consider alternatives. Winbond Serial NAND at 46-nm technolog
y offers quality comparable to NOR Flash\, much lower cost due to the inhe
rent small cell size of NAND\, and faster write throughput\, which is key
to OTA software updates. So if you have a need for 512 Mb and higher-densi
ty SPI NOR\, you should attend this webinar! During this webinar: We will
compare Serial NAND to SPI NOR and demonstrate that quality and read throu
ghput is comparable\, though Serial NAND offers lower cost and faster writ
e throughput. We will show that Winbond Serial NAND provides a managed sol
ution due to on-chip ECC and the ability to store mapping information in o
n-chip LUT for BBM Register Now
X-ALT-DESC;FMTTYPE=text/html:New \;emerging applications \;need
robust 512-Mb to 2-Gb \;Flash memories \;for stor
ing boot code and large application code. But \;NOR Flash \;starts
getting \;very \;expensive \;after 256-Mb density\, and there
fore\, system architects must \;consider alternatives. Wi
nbond Serial NAND \;at 46-nm technology offers \;quality comparabl
e to \;NOR Flash\, much \;lower cost \;due to the inherent&nbs
p\;small cell size \;of \;NAND\, \;and \;faster write thro
ughput\, which is \;key \;to \;OTA software updates. So if you
have a need for 512 Mb and higher-density SPI NOR\, you should \;atte
nd this webinar!
- We will compare \;Serial NAND \;to \;SPI NOR \;
and demonstrate that quality and read throughput is comparable\, though Se
rial NAND offers lower cost and faster write throughput.
- We will
show that \;Winbond Serial NAND \;provides a managed solution due
to on-chip ECC and the ability to store mapping information in on-chip LU
T for BBM
Register Now
CATEGORIES:Education
UID:20180726T1642350Z-511394-1821@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180828T150000
DTEND;TZID=America/Sao_Paulo:20180828T160000
SUMMARY:ARM Your Sensors - Day 2
DESCRIPTION:Each day of this lecture series will detail the creation of a s
ensor platform based on an ARM microcontroller. Prototypes and reference d
esigns discussed in the lectures use Digi-Key off-the-shelf components and
can be easily customized to meet the attendee’s specific design goals. Au
gust 28 – Day 2 – Developing Sensor IoT Nodes in an ARMED Playground Tod
ay’s lecture is heavily geared toward coding sensor firmware. We will util
ize the resources of MikroElektronika’s largest ARM development board and
mikroC PRO for ARM to create firmware for the newest sensor-laden click bo
ards.
X-ALT-DESC;FMTTYPE=text/html:Each day of this lecture series will detail
the creation of a sensor platform based on an ARM microcontroller. Protot
ypes and reference designs discussed in the lectures use Digi-Key off-the-
shelf components and can be easily customized to meet the attendee&rsquo\;
s specific design goals.
CATEGORIES:Education
UID:20180726T1655340Z-511394-1827@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180827T150000
DTEND;TZID=America/Sao_Paulo:20180827T160000
SUMMARY:ARM Your Sensors - Day 1
DESCRIPTION:Each day of this lecture series will detail the creation of a s
ensor platform based on an ARM microcontroller. Prototypes and reference d
esigns discussed in the lectures use Digi-Key off-the-shelf components and
can be easily customized to meet the attendee’s specific design goals. Au
gust 27 – Day 1 – Prototyping a Wi-Fi ARMED IoT Sensor Node An IoT node
that cannot communicate the information it collects is useless. The first
thing we will do in today’s lecture is ARM a WINC-1500 with an Atmel SAM D
21 and teach it to “shoot.”
X-ALT-DESC;FMTTYPE=text/html:Each day of this lecture series will detail
the creation of a sensor platform based on an ARM microcontroller. Protot
ypes and reference designs discussed in the lectures use Digi-Key off-the-
shelf components and can be easily customized to meet the attendee&rsquo\;
s specific design goals. -
An IoT node that canno
t communicate the information it collects is useless. The first thing we w
ill do in today&rsquo\;s lecture is ARM a WINC-1500 with an Atmel SAM D21
and teach it to &ldquo\;shoot.&rdquo\;
CATEGORIES:Education
UID:20180726T1656080Z-511394-1828@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180807T150000
DTEND;TZID=America/Sao_Paulo:20180807T160000
SUMMARY:Benefits of DCS for Hybrid Industries versus Conventional PLC Appro
ach
DESCRIPTION: With the evolution of the automation industry\, a conventiona
l programmable logic controller (PLC) approach may not be good enough any
longer. Implementing distributed control system (DCS) for hybrid industrie
s can reduce engineering time\, speed up commissioning and provide advance
d troubleshooting tools for operators. In this session we will examine the
overall development of a system and how an operator can use built-in tool
s to minimize troubleshooting. Learning Objectives: 1. Learn how an obje
ct-based approach minimizes engineering for a DCS. 2. Review how one datab
ase and one software environment simplifies engineering. 3. Examine how bu
ilt-in libraries minimize engineering development time and provide 'ready-
made' standards for a facility. CLICK HERE TO REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; With the evolution of the automation industry\,
a conventional programmable logic controller (PLC) approach may not be go
od enough any longer. Implementing distributed control system (DCS) for hy
brid industries can reduce engineering time\, speed up commissioning and p
rovide advanced troubleshooting tools for operators. In this session we wi
ll examine the overall development of a system and how an operator can use
built-in tools to minimize troubleshooting.  \; Learning Objectives:
1. Learn how an object-based a
pproach minimizes engineering for a DCS. 2. Review how one database a
nd one software environment simplifies engineering. 3. Examine how bu
ilt-in libraries minimize engineering development time and provide 'ready-
made' standards for a facility.  \; CLICK HERE TO REGISTER NOW
strong>
CATEGORIES:Education
UID:20180726T1645540Z-511394-1822@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180816T150000
DTEND;TZID=America/Sao_Paulo:20180816T160000
SUMMARY:The Starting Point for Robot Automation: A Beginner's Tour
DESCRIPTION:In this webinar you will receive a step-by-step guide on how ge
t started with robotics in automation and the key aspects to consider. Fro
m assembly and pick-and-place to material handling and packaging\, the use
of robots is on the rise in factory automation. Extremely customizable in
even the most complex applications\, automation systems are beneficial fo
r a wide variety of reasons. Taking the plunge into automation\, however\,
can be confusing and daunting. With so many factors to consider\, you may
be wondering\, “Where do I start?” LEARN MORE
X-ALT-DESC;FMTTYPE=text/html: In this webinar you will receive a step-by-
step guide on how get started with robotics in automation and the key aspe
cts to consider. From assembly and pick-and-place to material handli
ng and packaging\, the use of robots is on the rise in factory automation.
Extremely customizable in even the most complex applications\, automation
systems are beneficial for a wide variety of reasons. Taking the plunge i
nto automation\, however\, can be confusing and daunting. With so many fac
tors to consider\, you may be wondering\, &ldquo\;Where do I start?&rdquo\
;
CATEGORIES:Education
UID:20180726T1647270Z-511394-1823@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180830T150000
DTEND;TZID=America/Sao_Paulo:20180830T160000
SUMMARY:ARM Your Sensors - Day 4
DESCRIPTION:Each day of this lecture series will detail the creation of a s
ensor platform based on an ARM microcontroller. Prototypes and reference d
esigns discussed in the lectures use Digi-Key off-the-shelf components and
can be easily customized to meet the attendee’s specific design goals. Au
gust 30 – Day 4 – An ARMED Mobile Sensor Node Reference Design This lect
ure revolves around mating a sensor platform with differing communications
methods. Because one method is not offered in prototype form\, we will bu
ild our own.
X-ALT-DESC;FMTTYPE=text/html: Each day of this lecture series will detail
the creation of a sensor platform based on an ARM microcontroller. Protot
ypes and reference designs discussed in the lectures use Digi-Key off-the-
shelf components and can be easily customized to meet the attendee&rsquo\;
s specific design goals. -
CATEGORIES:Education
UID:20180726T1652380Z-511394-1825@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180830T150000
DTEND;TZID=America/Sao_Paulo:20180830T160000
SUMMARY:Leveraging Open Standards and C4ISR for Multi-domain Challenges in
Modern Warfare
DESCRIPTION:For any military\, the key to victory is dominance in multiple
battlefield domains -- ground\, sea\, air\, space\, and now cyber. To achi
eve that dominance militaries must leverage communications\, computers\, i
ntelligence\, surveillance\, and reconnaissance (C4ISR) technology across
those domains. This webcast will cover how embedded electronics and open s
tandards enable superior C4ISR -- from sensors to signal processing to rea
l-time communications. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: For any m
ilitary\, the key to victory is dominance in multiple battlefield domains
-- ground\, sea\, air\, space\, and now cyber. To achieve that dominance m
ilitaries must leverage communications\, computers\, intelligence\, survei
llance\, and reconnaissance (C4ISR) technology across those domains.
This webcast will cover how embedded electronics and open standards ena
ble superior C4ISR -- from sensors to signal processing to real-time commu
nications. \;  \;  \;
CATEGORIES:Education
UID:20180726T1704590Z-511394-1829@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180813T150000
DTEND;TZID=America/Sao_Paulo:20180813T160000
SUMMARY:Signal Timing Optimization Using Connected Vehicle Technology
DESCRIPTION: Traffic signals serve as a major source of congestion in urba
n environments and are considered large contributors to travel delays\, ve
hicle emissions\, and wasted fuel. Recent advances in vehicular communicat
ions and mobile computing technologies are offering flexible traffic signa
l control paradigms. For example\, new communication technologies now allo
w vehicles to collect and share information about their surroundings with
adjacent vehicles and infrastructure\, which can be used to change signal
timings more dynamically than previously possible. Furthermore\, the intro
duction of autonomous vehicles means that vehicles and signals can work in
cooperation to improve signalized intersection operations. This prese
ntation introduces a real-time traffic signal optimization algorithm in th
e presence of Connected and Autonomous Vehicles (CAVs). It leverages infor
mation from CAVs arriving to the intersection to identify the existence Re
ad More... Learning Objectives The audience will learn about: I
dentifying non-connected vehicles using data from Connected Vehicles Group
ing vehicles into platoons that naturally discharge together Optimizing pl
atoon discharge sequence to minimize vehicle delays Altering vehicle traje
ctories to minimize number of stops Read More... Target Audience The targe
t audience includes anyone interested in urban traffic signal control\, CA
V technology\, and an effective and efficient traffic signal control metho
d utilizing CAV technology.
X-ALT-DESC;FMTTYPE=text/html:  \; Traffic signals serve as a major source of congestion in
urban environments and are considered large contributors to travel delays
\, vehicle emissions\, and wasted fuel. Recent advances in vehicular commu
nications and mobile computing technologies are offering flexible traffic
signal control paradigms. For example\, new communication technologies now
allow vehicles to collect and share information about their surroundings
with adjacent vehicles and infrastructure\, which can be used to change si
gnal timings more dynamically than previously possible. Furthermore\, the
introduction of autonomous vehicles means that vehicles and signals can wo
rk in cooperation to improve signalized intersection operations.  \; \; \; This presentation introduces a real-time traffic signal optimizatio
n algorithm in the presence of Connected and Autonomous Vehicles (CAVs). I
t leverages information from CAVs arriving to the intersection to identify
the existence Read More...  \; \; \; \; \; \; L
earning Objectives  \; The audience will learn about:  \; - Identifying non-connected vehicles usi
ng data from Connected Vehicles
- Grouping \;vehicles into pla
toons that naturally discharge together
- Optimizing platoon disch
arge sequence to minimize vehicle delays
- Altering vehicle trajec
tories to minimize number of stops Read More...
Target Audience The target audience includes anyone interested in urban traffic signal co
ntrol\, CAV technology\, and an effective and efficient traffic signal con
trol method utilizing CAV technology.
CATEGORIES:Education
UID:20180726T1706520Z-511394-1830@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170608T170000
DTEND;TZID=America/Sao_Paulo:20170608T180000
SUMMARY:Sensing and control at the edge: Microcontroller kits
DESCRIPTION:Microcontroller kits emerge as a go-to tool in IIoT-based indus
trial automation In an unforeseen instance of innovation\, microcontroller
kits are being used to build IIoT digital devices and interactive objects
. The industrial-automation industry has taken note of these commercially
available edge-computer\, board-level embedded controllers. What began as
an easier way to program microcontrollers evolved into an open-source\, el
ectronics-prototyping platform and today microcontroller kits\, such as Ar
duino and Raspberry Pi\, are a force in do-it-yourself home automation. In
a range of industrial environments\, engineering teams already are evalua
ting the suitability of these kits and kindred solutions for process contr
ol and automation in production plants\, factories and facilities. “In an
industry that’s been ‘stagnant’ for the last decade\, we’re getting excite
ment about what is possible with these technologies\,” says Arlen Nipper\,
co-developer of the MQTT messaging protocol. These low-cost systems will
make it possible\, for example\, to add remote-control and monitoring to s
mall legacy systems – just as decades ago SCADA enabled automation of mid-
sized systems. IT-based automation suppliers are on the verge of introduci
ng ruggedized microcontroller platform solutions having the robustness\, s
afety features and communication protocols needed in industry. Learning Ob
jectives: How are microcomputer kits being used in industrial environments
? What are the challenges and benefits of this emerging industrial technol
ogy? What best practices should be involved in evaluating this technology?
Attend the IIoT webcast on sensing and control at the edge to ask your qu
estions as Arlen Nipper discusses with moderator Kevin Parker and Control
Engineering editor Mark Hoske the future of microcontroller kits in indust
rial automation. To view and register for the complete 2017 IIoT Webcast s
eries\, click here.
X-ALT-DESC;FMTTYPE=text/html: Microcontroller kits emerge as a go-to
tool in IIoT-based industrial automation In an unforeseen ins
tance of innovation\, microcontroller kits are being used to build IIoT di
gital devices and interactive objects. The industrial-automation industry
has taken note of these commercially available edge-computer\, board-level
embedded controllers. What began as an easier way to program microcontrol
lers evolved into an open-source\, electronics-prototyping platform and to
day microcontroller kits\, such as Arduino and Raspberry Pi\, are a force
in do-it-yourself home automation. In a range of industrial enviro
nments\, engineering teams already are evaluating the suitability of these
kits and kindred solutions for process control and automation in producti
on plants\, factories and facilities. &ldquo\;In an industry that&
rsquo\;s been &lsquo\;stagnant&rsquo\; for the last decade\, we&rsquo\;re
getting excitement about what is possible with these technologies\,&rdquo\
; says Arlen Nipper\, co-developer of the MQTT messaging protocol. These low-cost systems will make it possible\, for example\, to add remot
e-control and monitoring to small legacy systems &ndash\; just as decades
ago SCADA enabled automation of mid-sized systems. IT-based automation sup
pliers are on the verge of introducing ruggedized microcontroller platform
solutions having the robustness\, safety features and communication proto
cols needed in industry. Learning Objectives:
- How are microcomputer kits being used in industrial environmen
ts?
- What are the challenges and benefits of this emerging indust
rial technology?
- What best practices should be involved in evalu
ating this technology?
Attend th
e IIoT webcast on sensing and control at the edge to ask your questions as Arlen Nipper discusses wit
h moderator Kevin Parker and Control Engineering editor Mark Hoske the future of microcontroller kits in
industrial automation. To view and regis
ter for the complete 2017 IIoT Webcast series\, click here.
p>
CATEGORIES:Education
UID:20170603T2335420Z-511394-1418@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170525T140000
DTEND;TZID=America/Sao_Paulo:20170525T150000
SUMMARY:How to Measure Gate Drive Waveforms
DESCRIPTION:Overview: Sponsored by Analog Devices and Mouser. As demand fo
r higher efficiency\, higher power density solutions increase\, the timing
requirements for isolated gate drivers increases. This webcast will expla
in key timing requirements such as propagation delay and drive strength\,
and show methods for measuring the metrics in and out of the application.
Who Should Attend: This webcast is targeted at system designers that want
to learn about designing and testing circuits with isolated gate drivers.
X-ALT-DESC;FMTTYPE=text/html: Overview: Sponsore
d by Analog Devices and Mouser.  \;As demand for higher effic
iency\, higher power density solutions increase\, the timing requirements
for isolated gate drivers increases. This webcast will explain key timing
requirements such as propagation delay and drive strength\, and show metho
ds for measuring the metrics in and out of the application.
Who Should Attend:
This webcast is targeted at system designers that wan
t to learn about designing and testing circuits with isolated gate drivers
.  \; |  \; | &nbs
p\; |  \; |  \; |  \; |  \; | |  \; | &n
bsp\;
CATEGORIES:General
UID:20170518T1759220Z-511394-1396@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170601T160000
DTEND;TZID=America/Sao_Paulo:20170601T170000
SUMMARY:Simulating Physics of the Built Environment with COMSOL Multiphysic
s®
DESCRIPTION: Why this webcast is important If you want to learn about simu
lating the physics of the built environment\, then tune into this webinar
with guest speaker Jos van Schijndel from Eindhoven University of Technolo
gy and CompuToolAble. The physics of the built environment has become mo
re important during the last few decades and is relevant to many applicati
ons\, including energy efficiency\, building safety\, and historical site
maintenance. This has been highlighted by an increasing number of works pu
blished by engineers and researchers on the topic. In addition\, COMSOL Mu
ltiphysics® has introduced predefined interfaces for heat and moisture tra
nsport in air and building materials as well as for condensation and evapo
ration modeling. During this webinar\, you will learn how to use COMSOL
Multiphysics® to study heat and mass transfer in the built environment. We
will start the live demonstration by creating a 3D thermal model and app
(a customized user interface designed around the simulation) and end by bu
ilding a state-of-the-art heat\, vapor\, and liquid model. You can ask que
stions at the end of this webinar during the Q&A session. REGISTER N
OW
X-ALT-DESC;FMTTYPE=text/html:  \; Why this webcast is important If you want to learn about simulating the physics of the built envi
ronment\, then tune into this webinar with guest speaker Jos van Schij
ndel from Eindhoven University of Technology and CompuToolAble. &n
bsp\; The physics of the built environment has become more importa
nt during the last few decades and is relevant to many applications\, incl
uding energy efficiency\, building safety\, and historical site maintenanc
e. This has been highlighted by an increasing number of works published by
engineers and researchers on the topic. In addition\, COMSOL Multiphysics
®\; has introduced predefined interfaces for heat and moisture transpor
t in air and building materials as well as for condensation and evaporatio
n modeling.  \; During this webinar\, you will learn how to use
COMSOL Multiphysics®\; to study heat and mass transfer in the built env
ironment. We will start the live demonstration by creating a 3D thermal mo
del and app (a customized user interface designed around the simulation) a
nd end by building a state-of-the-art heat\, vapor\, and liquid model. You
can ask questions at the end of this webinar during the Q&\;A session.
 \;
 \; |  \;
CATEGORIES:Education
UID:20170518T1804100Z-511394-1398@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170329T150000
DTEND;TZID=America/Sao_Paulo:20170329T160000
SUMMARY:Testing Microwave Backhaul Radio Systems
DESCRIPTION:Why this webcast is important Microwave radio provides the quic
kest and most cost effective way to backhaul broadband radio access. In or
der to keep the microwave radio running at its peak performance\, it is cr
ucial to install its network elements properly\, test each of them to make
sure they meet design specifications before turning on the system\, and t
est site radio elements during operation to assure network service quality
. REGISTER NOW Who should attend Engineers and technicians doing mic
rowave backhaul installlation\, maintenance and troubleshooting.
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is important<
br /> Microwave radio provides the quickest and most cost effective way to
backhaul broadband radio access. In order to keep the microwave radio run
ning at its peak performance\, it is crucial to install its network elemen
ts properly\, test each of them to make sure they meet design specificatio
ns before turning on the system\, and test site radio elements during oper
ation to assure network service quality.  \; | Who should attend En
gineers and technicians doing microwave backhaul installlation\, maintenan
ce and troubleshooting. | |  \; |  \;
CATEGORIES:Education
UID:20170321T1529490Z-511394-1281@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170321T160000
DTEND;TZID=America/Sao_Paulo:20170321T170000
SUMMARY:Medium Format Li-ion Battery Applications: Growth in the Middle Cla
ss
DESCRIPTION:Why this webcast is important Li-ion battery technology first e
nabled the lap-top market and soon thereafter\, small\, simple consumer el
ectronics products. There is widespread speculation that electric vehicles
\, with their large format batteries\, will be the wave of the future\, bu
t meanwhile\, “medium format” battery applications are converting to Li-io
n at a rapid pace. These multi-cell batteries power everything from forkli
fts to e-bikes to back-up data storage and have medium current delivery an
d capacity in common. During this live webcast\, industry experts will pro
vide in-depth advice on design considerations when utilizing medium format
batteries\, including: Overview of the medium format market. These applic
ations include material handling equipment\, battery back-up units\, lawn
and garden equipment\, industrial cleaners\, aerial scissor lifts\, indust
rial drones\, golf carts\, and other motive applications. Comparison of Se
aled Lead Acid (SLA) and Internal Combustion Engine (ICE) to Li-ion. Many
of these applications are currently using SLA or ICE technology\, and will
benefit from the weight\, cycle life and maintenance improvements that Li
-ion offers. Conversion to Li-ion and what is required for a drop-in SLA r
eplacement\, including off-the-shelf solutions available today. Design con
siderations for medium format Li-ion batteries. We will examine the new mi
d-range cell offerings in detail and discuss cell balancing and other elec
tronics required for multi-cell designs. Li-ion batteries can provide bett
er run-time and higher efficiency in industrial equipment and motive appli
cations. Our presenters will provide valuable information on how best to u
tilize this technology within these rapidly changing markets. REGISTER N
OW
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is important<
/p> Li-ion battery technology first enabled the lap-top market and soo
n thereafter\, small\, simple consumer electronics products. There is wide
spread speculation that electric vehicles\, with their large format batter
ies\, will be the wave of the future\, but meanwhile\, &ldquo\;medium form
at&rdquo\; battery applications are converting to Li-ion at a rapid pace.
These multi-cell batteries power everything from forklifts to e-bikes to b
ack-up data storage and have medium current delivery and capacity in commo
n. During this live webcast\, industry experts will provide in-depth advic
e on design considerations when utilizing medium format batteries\, includ
ing: - Overview of the medium format market. These applicatio
ns include material handling equipment\, battery back-up units\, lawn and
garden equipment\, industrial cleaners\, aerial scissor lifts\, industrial
drones\, golf carts\, and other motive applications.
- Comparison
of Sealed Lead Acid (SLA) and Internal Combustion Engine (ICE) to Li-ion.
Many of these applications are currently using SLA or ICE technology\, an
d will benefit from the weight\, cycle life and maintenance improvements t
hat Li-ion offers.
- Conversion to Li-ion and what is required for
a drop-in SLA replacement\, including off-the-shelf solutions available t
oday.
- Design considerations for medium format Li-ion batteries.
We will examine the new mid-range cell offerings in detail and discuss cel
l balancing and other electronics required for multi-cell designs.
<
/ul> Li-ion batteries can provide better run-time and higher efficienc
y in industrial equipment and motive applications. Our presenters will pro
vide valuable information on how best to utilize this technology within th
ese rapidly changing markets.  \; RE
GISTER NOW
CATEGORIES:Education
UID:20170321T1531580Z-511394-1282@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180918T150000
DTEND;TZID=America/Sao_Paulo:20180918T160000
SUMMARY:Every Switch needs a Driver - The Right Driver Makes a Difference
DESCRIPTION:Every power switch needs a driver IC and the wide range of powe
r electronics applications today means that choosing the right driver is a
big job. Infineon offers a portfolio of more than 200 gate driver solutio
ns for designs across appliance and industrial markets and provides applic
ation expertise to match its advanced technologies. This deep knowledge u
nderlies this new gate driver IC selection webinar. The webinar covers gat
e driver ICs complementary to Infineon IGBTs and MOSFETs\, and used integr
ated in intelligent power modules. Topics we’ll discuss include: Infineo
n’s Level Shift portfolio and roadmap Advantages of SOI Level Shift techno
logy Focus applications and markets Product portfolio and application posi
tioning Infineon’s Coreless Technology portfolio and roadmap Advantages of
Galvanic isolation Event Date: September 18\, 2018 Event Time: 2:00 pm ED
T | 11:00 am PDT | 8:00 pm CEST Sponsored by: Infineon Register Now
X-ALT-DESC;FMTTYPE=text/html:Every power switch needs a driver IC and th
e wide range of power electronics applications today means that choosing t
he right driver is a big job. Infineon offers a portfolio of more than 200
gate driver solutions for designs across appliance and industrial markets
and provides application expertise to match its advanced technologies.&nb
sp\; This deep knowledge underlies this new gate driver IC selection webin
ar. The webinar covers gate driver ICs complementary to Infin
eon IGBTs and MOSFETs\, and used integrated in intelligent power modules.<
br />  \; - Infineon&
rsquo\;s Level Shift portfolio and roadmap
- Advantages of SOI Lev
el Shift technology
- Focus applications and markets
- Pro
duct portfolio and application positioning
- Infineon&rsquo\;s Cor
eless Technology portfolio and roadmap
- Advantages of Galvanic is
olation
Event Date: September 18\, 2018 Eve
nt Time: \;2:00 pm EDT | 11:00 am PDT | 8:00 pm CEST Sponsored by: Infineon | |
CATEGORIES:Education
UID:20180824T1729210Z-511394-1860@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180830T150000
DTEND;TZID=America/Sao_Paulo:20180830T160000
SUMMARY:Manufacturing in the Age of the Customer: How Cloud Technologies Dr
ive Digital Transformation
DESCRIPTION: Join industry experts next week for a deep dive into how the
modern customer demands customization and responsiveness\, and the modern
manufacturer must use the new tools of digitalization to win (and keep) th
ose customers. During the August 30 Smart Industry webinar\, Propel's VP
of Manufacturing Miguel Tam and Salesforce's Industry Senior Director Ton
y Kratovil will show you: How to be faster and more responsive with prod
uct design and delivery\, even while engaging with a broader set of stakeh
olders How to improve product success by focusing on the customer experien
ce How to use the latest technologies to keep up with fast-changing busine
ss requirements Click here to learn more and register for this Smart Ind
ustry webinar.
X-ALT-DESC;FMTTYPE=text/html: \; Join industry experts next
week for a deep dive into how the modern customer demands customization an
d responsiveness\, and the modern manufacturer must use the new tools of d
igitalization to win (and keep) those customers.  \; <
strong>During the August 30 Smart Industry webinar\, Propel's VP of Manufacturing Miguel Tam and Salesforce's Industry
Senior Director Tony Kratovil will show you:  \; <
li>How to be faster and more responsive with product design and delivery\,
even while engaging with a broader set of stakeholders - How to i
mprove product success by focusing on the customer experience
- Ho
w to use the latest technologies to keep up with fast-changing business re
quirements
 \; Click here t
o learn more and register for this Smart Industry webinar.  \;
CATEGORIES:Education
UID:20180824T1730430Z-511394-1861@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180828T150000
DTEND;TZID=America/Sao_Paulo:20180828T160000
SUMMARY:Optimizing Hydronic System Design for Top Performance
DESCRIPTION:Webcast: Optimizing Hydronic System Design for Top Performance
Date: Tuesday\, August 28\, 2018\, at 11 a.m. PT/1 p.m. CT/2 p.m. ET Atten
dees qualify for a Certificate of Completion Cost: Free! Learn how to impr
ove hydronic system design and deliver more value. Proven technology\, suc
h as pressure independent control valves and variable frequency drives\, c
an effectively contribute to optimized designs by maximizing performance o
f variable and constant flow systems. Find out about specific benefits of
hydronic flow optimization designs\, which include simplifying contractor
selection\, installation and commissioning\, and helping end users reduce
energy costs and improve occupant comfort. Key takeaways: Design for optim
al hydronic system performance. Understand criteria for balancing to achie
ve and maintain proper flow\, resulting in energy efficiency. Enable custo
mers to increase end-user comfort and reduce energy costs. Speakers: Brent
Waluzak\, PE\, Business Development Manager\, Siemens Controls Products a
nd Systems Division Moderator: Jack Smith\, Content Manager\, CFE Media Re
gister today!
X-ALT-DESC;FMTTYPE=text/html: Webcast: Optimizing Hydronic System Design for Top Perf
ormance Date: Tuesday\, August 28\, 2018\, at 11 a.m. PT/1 p.m. C
T/2 p.m. ET Attendees qualify for a Certificate of Completion Co
st: Free!
Learn how to improve hydronic system design
and deliver more value. Proven technology\, such as pressure independent c
ontrol valves and variable frequency drives\, can effectively contribute t
o optimized designs by maximizing performance of variable and constant flo
w systems. Find out about specific benefits of hydronic flow optimization
designs\, which include simplifying contractor selection\, installation an
d commissioning\, and helping end users reduce energy costs and improve oc
cupant comfort.
Key takeaways: -
Design for optimal hydronic system performance.
- Understand crite
ria for balancing to achieve and maintain proper flow\, resulting in energ
y efficiency.
- Enable customers to increase end-user comfort and
reduce energy costs.
Speakers:
- Brent Waluzak\, PE\, Business Development Manager\, Siemens Controls
Products and Systems Division
- Moderator: Jack Smith\, Content Ma
nager\, CFE Media
Register today!
CATEGORIES:Education
UID:20180824T1734280Z-511394-1862@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180913T120000
DTEND;TZID=America/Sao_Paulo:20180913T130000
SUMMARY:Modern Inertial Applications for Aerospace and Defense
DESCRIPTION:There are challenges in MEMS technology today that existing opt
ions are either too large or overall performance is inefficient. The lates
t family of ADI MEMS addresses this. As ADI surpasses the 1 degree per hou
r IMU barrier\, small MEMS devices can now be incorporated into newer tech
nologies like soldier wearables\, small munitions\, and barrel stabilizati
ons systems along with historical applications such as ADAHARS\, UAVs\, an
d vehicle stability. Attendees will learn: Recent advances in MEMS ine
rtials providing increased performance in small packages How to select and
implement the correct MEMS gyroscope\, accelerometer and/or inertial meas
urement unit for military applications REGISTER
X-ALT-DESC;FMTTYPE=text/html: There are challenges
in MEMS technology today that existing options are either too large or ove
rall performance is inefficient. The latest family of ADI MEMS addresses t
his. As ADI surpasses the 1 degree per hour IMU barrier\, small MEMS devic
es can now be incorporated into newer technologies like soldier wearables\
, small munitions\, and barrel stabilizations systems along with historica
l applications such as ADAHARS\, UAVs\, and vehicle stability.
 \;  \; Attendees will learn: <
li>Recent advances in MEMS inertials providing increased performance in sm
all packages - How to select and implement the correct MEMS gyrosc
ope\, accelerometer and/or inertial measurement unit for military applicat
ions
REGISTER
CATEGORIES:Education
UID:20180824T1749090Z-511394-1865@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180912T130000
DTEND;TZID=America/Sao_Paulo:20180912T140000
SUMMARY:Transforming the in-vehicle experience with Artificial Intelligence
DESCRIPTION:As Artificial Intelligence (AI) systems become more efficient a
nd optimized for automotive use cases\, they are expected to become a stan
dard in new vehicles and they will revolutionize every aspect of the car o
f the future. These optimized on-device AI system will redefine the in-veh
icle experience\, enhance Advanced Driver Assistance Systems (ADAS) and pa
ve the road to autonomous driving In the webinar\, you will learn how Qual
comm is unlocking the potential of on-device AI to revolutionize the vehic
le of the future. Event Date: September 12\, 2018 Event Time: 12:00 pm EDT
| 9:00 am PDT | 6:00 pm CEST Sponsored by: Qualcomm Register Now
X-ALT-DESC;FMTTYPE=text/html: As Artificial Intelligence (AI) systems bec
ome more efficient and optimized for automotive use cases\, they are expec
ted to become a standard in new vehicles and they will revolutionize every
aspect of the car of the future. These optimized on-device AI system will
redefine the in-vehicle experience\, enhance Advanced Driver Assistance S
ystems (ADAS) and pave the road to autonomous driving In the
webinar\, you will learn how Qualcomm is unlocking the potential of on-dev
ice AI to revolutionize the vehicle of the future.
Event Date: Se
ptember 12\, 2018 Event Time: 12:00 pm EDT | 9:00 a
m PDT | 6:00 pm CEST Sponsored by: Qualcomm | <
td class='column' valign='middle' width='110'>
tr>
CATEGORIES:Education
UID:20180824T1738360Z-511394-1863@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180920T120000
DTEND;TZID=America/Sao_Paulo:20180920T130000
SUMMARY:Benefits of GaN technology for Electronic Warfare and Radar Applica
tions
DESCRIPTION: REGISTER NOW The hottest RF and microwave technology in the
military radar and electronic community continues to be Gallium Nitride (
GaN). The industry is still getting educated on all the possibilities that
GaN brings to the design table from a performance standpoint especially i
n active electronically scanned array (AESA) radar systems. This webcast o
f industry experts will cover how GaN components are changing the game for
radar and EW designs and how best to leverage the technology.
X-ALT-DESC;FMTTYPE=text/html:  \;  \;
td> | |
| The hottest RF and microwave technology in the military radar a
nd electronic community continues to be Gallium Nitride (GaN). The industr
y is still getting educated on all the possibilities that GaN brings to th
e design table from a performance standpoint especially in active electron
ically scanned array (AESA) radar systems. This webcast of industr
y experts will cover how GaN components are changing the game for radar an
d EW designs and how best to leverage the technology. \; | <
/tr>
CATEGORIES:Education
UID:20180824T1741290Z-511394-1864@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180918T150000
DTEND;TZID=America/Sao_Paulo:20180918T160000
SUMMARY:Addressing Industry Trends in Water Purification with UVC LEDs
DESCRIPTION:Consumer appliances using UVC LEDs like water dispensers\, smar
t faucets\, and home beverage systems will begin hitting store shelves in
2019. This can be attributed to recent accomplishments around price\, perf
ormance\, and volume that support the demands of consumer water purificati
on. Crystal IS will share its insight on how early-mover consumer applianc
e designers are meeting major consumer design trends using UVC LEDs\, alon
g with current market drivers for consumer UV purification associated with
design for localization\, connected user experiences\, and the displaceme
nt of bottled water. Register Now
X-ALT-DESC;FMTTYPE=text/html: Consumer appliances using UVC LEDs like
water dispensers\, smart faucets\, and home beverage systems will begin hi
tting store shelves in 2019. This can be attributed to recent accomplishme
nts around price\, performance\, and volume that support the demands of co
nsumer water purification. Crystal IS will share its insight
on how early-mover consumer appliance designers are meeting major consumer
design trends using UVC LEDs\, along with current market drivers for cons
umer UV purification associated with design for localization\, connected u
ser experiences\, and the displacement of bottled water. Register Now
CATEGORIES:Education
UID:20180824T1753240Z-511394-1866@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180831T150000
DTEND;TZID=America/Sao_Paulo:20180831T160000
SUMMARY:Managing Devices with Linux Device Drivers featuring i.MX 6ULL WEbi
nar
DESCRIPTION: ARE YOU CONSIDERING USING LINUX ON YOUR NEXT EMBED
DED SYSTEM PROJECT? NXP and Doulos invite you to explore the why and how o
f managing peripheral devices in an Arm-based embedded Linux system. Altho
ugh this is a huge and complex subject area\, we will introduce some of th
e key concepts and start to appreciate the steps involved in writing a dev
ice driver. You will learn: how devices are represented in the device-tree
description the basic framework used for a Linux kernel device driver fea
turing i.MX 6ULL fundamentals of device driver programming\, including int
errupt handling and memory how devices can be managed from user-space and
a comparison with kernel-based management some of the tools and techniques
available for debugging device drivers Americas Register N
ow »
X-ALT-DESC;FMTTYPE=text/html:
NXP and Doulos invite you to explore the why and how of manag
ing peripheral devices in an Arm-based embedded Linux system. Although thi
s is a huge and complex subject area\, we will introduce some of the key c
oncepts and start to appreciate the steps involved in writing a device dri
ver. You will learn: - how devices are represented in the device-tree descri
ption
- the basic framework used for a Linux k
ernel device driver featuring i.MX 6ULL
- fund
amentals of device driver programming\, including interrupt handling and m
emory
- how devices can be managed from user-s
pace and a comparison with kernel-based management
- some of the tools and techniques available for debugging device dri
vers \;  \;  \;  \;  \;  \;  \;
<
p> Americas  \; \;Register&nb
sp\;Now \;»\; \; \;
CATEGORIES:Education
UID:20180824T1756500Z-511394-1867@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170907T100000
DTEND;TZID=America/Sao_Paulo:20170907T110000
SUMMARY:Millimeter-wave Component Characterization
DESCRIPTION:This webcast will focus on how a Vector Network Analyzer can be
utilized to address the need for millimeter wave component characterizati
on for both passive and active devices REGISTER
X-ALT-DESC;FMTTYPE=text/html: This webcast will focus on how a Vector Net
work Analyzer can be utilized to address the need for millimeter wave comp
onent characterization for both passive and active devices REGISTER
CATEGORIES:Education
UID:20170903T1326490Z-511394-1524@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170913T120000
DTEND;TZID=America/Sao_Paulo:20170913T130000
SUMMARY:Advances in Phased Array Analog Beamforming Solutions
DESCRIPTION:Description: This webcast will introduce the concept of phased
array and why its useful. We'll next look at trade-offs between Analog and
Digital Beamforming. Then we'll begin to focus on Analog Beamforming and
advances in Semiconductor Technology and ICs that are making these solutio
ns higher performance\, simpler to implement and more cost effective. Duri
ng this webinar you will learn: - Phased Array Basics - Benefits of Analog
vs. Digital Beamforming - Advances in ICs used in Analog Beamforming Who
should attend: People that are interested in designing phased array antenn
ae. Applications include Military Radar\, Satellite communications\, etc.
REGISTER
X-ALT-DESC;FMTTYPE=text/html: Description: This we
bcast will introduce the concept of phased array and why its useful. We'll
next look at trade-offs between Analog and Digital Beamforming. Then we'l
l begin to focus on Analog Beamforming and advances in Semiconductor Techn
ology and ICs that are making these solutions higher performance\, simpler
to implement and more cost effective. During this webinar
you will learn: - Phased Array Basics - Benefits of An
alog vs. Digital Beamforming - Advances in ICs used in Analog Beamfor
ming Who should attend: People that are int
erested in designing phased array antennae. Applications include Military
Radar\, Satellite communications\, etc. REGISTER
CATEGORIES:Education
UID:20170903T1322430Z-511394-1527@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171010T120000
DTEND;TZID=America/Sao_Paulo:20171010T130000
SUMMARY:Current and Emerging Regulations for the Sterilization of Medical D
evices
DESCRIPTION:Specific to Ethylene Oxide\, ISO11135:2014 (Sterilization of he
alth-care products - Ethylene oxide - Requirements for the development\, v
alidation and routine control of a sterilization process for medical devic
es) transition period has expired and is now fully in effect. In this Web
inar\, consultants will address the upcoming Amendment to Annex E\, the me
thodology for Single Batch Release. Also reviewed will be relevant ISO co
mmittee activities and the status of ISO10993/7 (Biological evaluation of
medical devices -- Ethylene oxide sterilization residuals)\, and emerging
regulations relating to personnel exposure to EO. Specific to Radiation\
, subject matter experts will discuss the changes for ISO 11137-3:2017 (St
erilization of health care products – Radiation – Part 3: Guidance on dosi
metric aspects of development\, validation and routine control)\, present
a high-level overview of the much anticipated draft ISO/WD 11137 Part 4: (
Guidance on control of the irradiation process) and review the increased s
ecurity requirements for Irradiators (10CFR37 in U.S.). Register Now →
X-ALT-DESC;FMTTYPE=text/html: Specific to E
thylene Oxide\, ISO11135:2014 (Sterilization of health-care products - Eth
ylene oxide - Requirements for the development\, validation and routine co
ntrol of a sterilization process for medical devices) transition period ha
s expired and is now fully in effect. \;
In this Webinar\,
consultants will address the upcoming Amendment to Annex E\, the methodolo
gy for Single Batch Release. \; Also reviewed will be relevant ISO com
mittee activities and the status of ISO10993/7 (Biological evaluation of m
edical devices -- Ethylene oxide sterilization residuals)\, and emerging r
egulations relating to personnel exposure to EO.  \; Specifi
c to Radiation\, subject matter experts will discuss the changes for ISO 1
1137-3:2017 (Sterilization of health care products &ndash\; Radiation &nda
sh\; Part 3: Guidance on dosimetric aspects of development\, validation an
d routine control)\, present a high-level overview of the much anticipated
draft ISO/WD 11137 Part 4: (Guidance on control of the irradiation proces
s) and review the increased security requirements for Irradiators (10CFR37
in U.S.). Register No
w &rarr\;
CATEGORIES:Education
UID:20170903T1300480Z-511394-1521@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170912T140000
DTEND;TZID=America/Sao_Paulo:20170912T150000
SUMMARY:RS-7 SpectralLED® Tunable LED Light Source for Camera & Image Senso
r Calibration
DESCRIPTION:Find out about the SpectralLED® Tunable Light Source and how it
delivers superior spectral resolution and accuracy with higher brightness
and a broader color gamut than any available halogen or LED-based source.
What You'll Learn: Innovative technology using 35 discrete LED channe
ls covering the Visible and NIR to deliver light spectra with unprecedente
d resolution and accuracy. Technical and productivity advantages including
longer lifetime and stability\, simple production interface capability\,
and flexible software. Wide variety of applications including camera/image
sensor calibration\, fluorescent excitation/microscopy\, illuminant simul
ation\, and many others. Who Should Attend: Design & Development Enginee
ring/Systems Integration Applied Research & Development Manufacturing/Prod
uction Engineering Test & Measurement Engineering (Quality Control) Engine
ering Management
X-ALT-DESC;FMTTYPE=text/html: Find out about the SpectralLED®\; Tunable Light Source and how it delivers superior spectral resolution
and accuracy with higher brightness and a broader color gamut than any ava
ilable halogen or LED-based source.  \;
What You'll Learn:  \; - Innovative technology using 35 discrete LED channels cover
ing the Visible and NIR to deliver light spectra with unprecedented resolu
tion and accuracy.
- Technical and productivity advantages including longer lif
etime and stability\, simple production interface capability\, and flexibl
e software.
- Wide variety of applications including camera/image sensor calibr
ation\, fluorescent excitation/microscopy\, illuminant simulation\, and ma
ny others.
 \; Who Should Attend: <
/p> - Desi
gn &\; Development Engineering/Systems Integration
- Applied Research &\;
Development
- Manufacturing/Production Engineering
- Test &\; Measurement Engine
ering (Quality Control)
- Engineering Management
 \;
CATEGORIES:Education
UID:20170903T1305210Z-511394-1522@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170907T150000
DTEND;TZID=America/Sao_Paulo:20170907T160000
SUMMARY:Meeting Business Objectives with Additive Manufacturing or 3D Print
ing
DESCRIPTION:Additive Manufacturing (AM) technology is revolutionizing the w
ays products are conceptualized\, developed\, and realized. Learn about th
e ROI and approaches taken by other electronics and medical device organiz
ations as experienced from one of the world’s top additive manufacturing c
ompanies. Who Should Attend? This session is best suited for executives in
the Medical Device and Home Appliance Manufacturing Industries with manag
ing roles in Supply Chain\, Operations\, Manufacturing and/or Innovation.
Key Takeaways: Expected benefits of deploying AM across an enterprise and
their specific sources Successful methodologies to define AM deployment pr
ograms Top considerations to ensure successful deployment of AM programs D
escription: Additive Manufacturing (AM) technology is revolutionizing the
ways products are conceptualized\, developed\, and realized. For electroni
cs and electrical medical devices\, AM or 3D printing can improve time to
market\, streamline logistics\, eliminate single suppliers\, and reduce in
ventory while meeting customer and regulation demands. Join this webinar t
o learn about the return on investment and approaches taken by other elect
ronics and medical device organizations as experienced from one of the wor
ld’s top additive manufacturing companies. REGISTER
X-ALT-DESC;FMTTYPE=text/html: Additive Manu
facturing (AM) technology is revolutionizing the ways products are concept
ualized\, developed\, and realized. Learn about the ROI and approaches tak
en by other electronics and medical device organizations as experienced fr
om one of the world&rsquo\;s top additive manufacturing companies. Who Should Attend? This session is best suited for executives
in the Medical Device and Home Appliance Manufacturing Industries with man
aging roles in Supply Chain\, Operations\, Manufacturing and/or Innovation
. Key Takeaways: - Expected benefits of deploying
AM across an enterprise and their specific sources
- Successful me
thodologies to define AM deployment programs
- Top considerations
to ensure successful deployment of AM programs
Description: Additive Manufacturing (AM) technology is revolutionizing the
ways products are conceptualized\, developed\, and realized. For electron
ics and electrical medical devices\, AM or 3D printing can improve time to
market\, streamline logistics\, eliminate single suppliers\, and reduce i
nventory while meeting customer and regulation demands. Join this webinar to learn about the return on investm
ent and approaches taken by other electronics and medical device organizat
ions as experienced from one of the world&rsquo\;s top additive manufactur
ing companies. REGISTER
CATEGORIES:Education
UID:20170903T1316090Z-511394-1525@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170921T150000
DTEND;TZID=America/Sao_Paulo:20170921T160000
SUMMARY: Designing for Metal 3D Printing
DESCRIPTION:Description: Direct metal laser sintering (DMLS) is an industri
al 3D printing technology for production-grade parts that is pushing manuf
acturing to new levels. As an additive manufacturing process\, DMLS allows
you to more easily create organic designs\, complex features\, and intern
al channels. Product designers and engineers can also reduce multipart ass
emblies into single components\, which in turn\, reduces product weight\,
assembly time\, and cost. In our presentation\, we’ll set aside some of th
e conventional manufacturing design rules\, as we explore how to get the m
ost out of DMLS. You will learn: How to design for DMLS\, including surfac
e finish and resolution considerations\, creating internal features\, redu
cing stress\, and support requirements How to manufacture the unmanufactur
able such as internal geometry for conformal cooling channels How metal 3D
printing allows you to reduce the number of components in an assembly (BO
M) by joining components How parts are built on a support structure and it
s impact REGISTER
X-ALT-DESC;FMTTYPE=text/html: Description: Direc
t metal laser sintering (DMLS) is an industrial 3D printing technology for
production-grade parts that is pushing manufacturing to new levels. As an
additive manufacturing process\, DMLS allows you to more easily create or
ganic designs\, complex features\, and internal channels. Product designer
s and engineers can also reduce multipart assemblies into single component
s\, which in turn\, reduces product weight\, assembly time\, and cost.
In our presentation\, we&rsquo\;ll set aside some of the conventional
manufacturing design rules\, as we explore how to get the most out of DML
S. You will learn: - How to design for DMLS\, includi
ng surface finish and resolution considerations\, creating internal featur
es\, reducing stress\, and support requirements
- How to \;man
ufacture the unmanufacturable such as internal geometry for conformal cool
ing channels
- How metal 3D printing allows you to reduce the numb
er of components in an assembly (BOM) by joining components
- How
parts are built on a support structure and its \;impact
<
p> REGISTER
CATEGORIES:Education
UID:20170903T1333580Z-511394-1530@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170926T120000
DTEND;TZID=America/Sao_Paulo:20170926T130000
SUMMARY:Design Secure\, Reliable IoT Infrastructure to Update Current Syste
m - Class 1
DESCRIPTION:There’s no way around it\; as a software developer\, system eng
ineer\, or system architect\, you’re going to encounter design complexity
when developing safe\, secure and reliable IoT systems for today’s critica
l infrastructure. But in this new software-defined world and with the IoT
exploding around us\, companies like yours must adapt to stay relevant. Wh
ile legacy critical infrastructure is too expensive to just replace comple
tely\, there are ways to implement new features that will define premium p
roducts for your market and meet the safety and security requirements nece
ssary for connecting to the IoT. In Day 1 of this three-day class\, we’ll
look at how you can transform digitally and ensure that your applications
remain safe and secure. REGISTER FOR CLASS 1 NOW!
X-ALT-DESC;FMTTYPE=text/html: There&rsquo\;
s no way around it\; as a software developer\, system engineer\, or system
architect\, you&rsquo\;re going to encounter design complexity when devel
oping safe\, secure and reliable IoT systems for today&rsquo\;s critical i
nfrastructure. But in this new software-defined world and with the IoT exp
loding around us\, companies like yours must adapt to stay relevant. While
legacy critical infrastructure is too expensive to just replace completel
y\, there are ways to implement new features that will define premium prod
ucts for your market and meet the safety and security requirements necessa
ry for connecting to the IoT. In Day 1 of this three-day class\, we&rsquo\
;ll look at how you can transform digitally and ensure that your applicati
ons remain safe and secure. REGIS
TER FOR CLASS 1 NOW!
CATEGORIES:Education
UID:20170903T1337140Z-511394-1531@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170912T150000
DTEND;TZID=America/Sao_Paulo:20170912T160000
SUMMARY:FPGA Programming - Class 2
DESCRIPTION:In this course we will go over the process and tools used to pr
ogram Field Programmable Gate Arrays (FPGAs). FPGAs are very flexible devi
ces which consist of large arrays of logic elements which can be connected
in arbitrary ways. The devices can also be reprogrammed on the fly\, in t
he field\, allowing them to implement different or improved algorithms. O
ne has to be careful on terminology\, though. Programming typically means
writing a program in some high\, or low\, level language. While we use a f
orm of software to specify what we want the FPGA to do\, the term programm
ing has another meaning. For a FPGA\, programming is the process of applyi
ng a bit pattern to the chip which controls the action of the 'switches' t
hat control the flow of data. We will look at the whole process of develo
ping that bit pattern and applying it to a device. In this course we will
have examples from a number of vendors\, such as Xilinx\, Intel and Micro
semi. September 12 – Day 2 – Design Flow The flow of design tasks will be
somewhat dependent on the FPGA vendor and tool set\, but there are some g
eneral steps that are generally followed. We will start with these general
steps and then look at some of the particular vendors. There are also var
ious options in the design flow from any from any particular vendor. One
of the important steps we will consider at this point in the flow is simul
ation and verification.
X-ALT-DESC;FMTTYPE=text/html:
In this course we will go over the process and tools used to program Field
Programmable Gate Arrays (FPGAs). \;FPGAs are very flexible devices w
hich consist of large arrays of logic elements which can be connected in a
rbitrary ways. \;The devices can also be reprogrammed on the fly\, in
the field\, allowing them to implement different or improved algorithms.&n
bsp\; One has to be careful on termi
nology\, though. \;Programming typically means writing a program in so
me high\, or low\, level language. \;While we use a form of software t
o specify what we want the FPGA to do\, the term programming has another m
eaning. \;For a FPGA\, programming is the process of applying a bit pa
ttern to the chip which controls the action of the 'switches' that control
the flow of data. \; We will lo
ok at the whole process of developing that bit pattern and applying it to
a device. \; In this course we will have examples from a number of ven
dors\, such as Xilinx\, Intel and Microsemi. \; - T
he flow of design tasks will be somewhat dependent on the FPGA vendor and
tool set\, but there are some general steps that are generally followed. W
e will start with these general steps and then look at some of the particu
lar vendors. There are also various options in the design flow from any fr
om any particular vendor. \; One of the important steps we will consid
er at this point in the flow is simulation and verification. \; \;
 \;
CATEGORIES:Education
UID:20170903T1347100Z-511394-1532@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170911T150000
DTEND;TZID=America/Sao_Paulo:20170911T160000
SUMMARY:FPGA Programming - Class 1
DESCRIPTION:In this course we will go over the process and tools used to pr
ogram Field Programmable Gate Arrays (FPGAs). FPGAs are very flexible devi
ces which consist of large arrays of logic elements which can be connected
in arbitrary ways. The devices can also be reprogrammed on the fly\, in t
he field\, allowing them to implement different or improved algorithms. O
ne has to be careful on terminology\, though. Programming typically means
writing a program in some high\, or low\, level language. While we use a f
orm of software to specify what we want the FPGA to do\, the term programm
ing has another meaning. For a FPGA\, programming is the process of applyi
ng a bit pattern to the chip which controls the action of the 'switches' t
hat control the flow of data. We will look at the whole process of develo
ping that bit pattern and applying it to a device. In this course we will
have examples from a number of vendors\, such as Xilinx\, Intel and Micro
semi. September 11 – Day 1 – Intro - FPGA Device Description We start wit
h an introduction to the class of devices called FPGAs. The layout and des
ign of several types and critical parameters will be described and discuss
ed. It is important to understand the way the device is constructed to dev
elop effective algorithms.
X-ALT-DESC;FMTTYPE=text/html:
In this course we will go over the process and tools used to program Field
Programmable Gate Arrays (FPGAs). \;FPGAs are very flexible devices w
hich consist of large arrays of logic elements which can be connected in a
rbitrary ways. \;The devices can also be reprogrammed on the fly\, in
the field\, allowing them to implement different or improved algorithms.&n
bsp\; One has to be careful on termi
nology\, though. \;Programming typically means writing a program in so
me high\, or low\, level language. \;While we use a form of software t
o specify what we want the FPGA to do\, the term programming has another m
eaning. \;For a FPGA\, programming is the process of applying a bit pa
ttern to the chip which controls the action of the 'switches' that control
the flow of data. \; We will lo
ok at the whole process of developing that bit pattern and applying it to
a device. \; In this course we will have examples from a number of ven
dors\, such as Xilinx\, Intel and Microsemi. \; -
We start with an introduction to the class of devices called FPGAs.
The layout and design of several types and critical parameters will be de
scribed and discussed. It is important to understand the way the device is
constructed to develop effective algorithms.  
\;  \;
CATEGORIES:Education
UID:20170903T1348280Z-511394-1533@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170913T150000
DTEND;TZID=America/Sao_Paulo:20170913T160000
SUMMARY:FPGA Programming - Class 3
DESCRIPTION:In this course we will go over the process and tools used to pr
ogram Field Programmable Gate Arrays (FPGAs). FPGAs are very flexible devi
ces which consist of large arrays of logic elements which can be connected
in arbitrary ways. The devices can also be reprogrammed on the fly\, in t
he field\, allowing them to implement different or improved algorithms. O
ne has to be careful on terminology\, though. Programming typically means
writing a program in some high\, or low\, level language. While we use a f
orm of software to specify what we want the FPGA to do\, the term programm
ing has another meaning. For a FPGA\, programming is the process of applyi
ng a bit pattern to the chip which controls the action of the 'switches' t
hat control the flow of data. We will look at the whole process of develo
ping that bit pattern and applying it to a device. In this course we will
have examples from a number of vendors\, such as Xilinx\, Intel and Micro
semi. September 13 – Day 3 – HDL Algorithms for FPGAs are specified via a
Hardware Description Language (HDL). We will look at a couple of these\,
VHDL and Verilog. Many design tool sets support both. The one you use w
ill depend on standards and skills available in your shop. We will also l
ook at some of the tools used to convert these HDLs to RTL form.
X-ALT-DESC;FMTTYPE=text/html:
In this course we will go over the process and tools used to program Field
Programmable Gate Arrays (FPGAs). \;FPGAs are very flexible devices w
hich consist of large arrays of logic elements which can be connected in a
rbitrary ways. \;The devices can also be reprogrammed on the fly\, in
the field\, allowing them to implement different or improved algorithms.&n
bsp\; One has to be careful on termi
nology\, though. \;Programming typically means writing a program in so
me high\, or low\, level language. \;While we use a form of software t
o specify what we want the FPGA to do\, the term programming has another m
eaning. \;For a FPGA\, programming is the process of applying a bit pa
ttern to the chip which controls the action of the 'switches' that control
the flow of data. \; We will lo
ok at the whole process of developing that bit pattern and applying it to
a device. \; In this course we will have examples from a number of ven
dors\, such as Xilinx\, Intel and Microsemi. \; - Algorithms for FP
GAs are specified via a Hardware Description Language (HDL). \; We wil
l look at a couple of these\, VHDL and Verilog. \; Many design tool se
ts support both. \; The one you use will depend on standards and skill
s available in your shop. \; We will also look at some of the tools us
ed to convert these HDLs to RTL form.
 \;
CATEGORIES:Education
UID:20170903T1350350Z-511394-1534@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170914T150000
DTEND;TZID=America/Sao_Paulo:20170914T160000
SUMMARY:FPGA Programming - Class 4
DESCRIPTION:In this course we will go over the process and tools used to pr
ogram Field Programmable Gate Arrays (FPGAs). FPGAs are very flexible devi
ces which consist of large arrays of logic elements which can be connected
in arbitrary ways. The devices can also be reprogrammed on the fly\, in t
he field\, allowing them to implement different or improved algorithms. O
ne has to be careful on terminology\, though. Programming typically means
writing a program in some high\, or low\, level language. While we use a f
orm of software to specify what we want the FPGA to do\, the term programm
ing has another meaning. For a FPGA\, programming is the process of applyi
ng a bit pattern to the chip which controls the action of the 'switches' t
hat control the flow of data. We will look at the whole process of develo
ping that bit pattern and applying it to a device. In this course we will
have examples from a number of vendors\, such as Xilinx\, Intel and Micro
semi. September 14 – Day 4 – Synthesis and Layout Once a design has been
developed in a HDL and tested and verified\, we need to lay it out on the
chip. This is analogous to layout for ASICS but it is not as complicated
since we are using an existing regular structure. Layout is important bec
ause of the effect on performance and the interaction of multiple algorith
ms that might be implemented together. Layout tools generally give the en
gineer a high level of control while allowing for modes that let software
pick. We look at these options and approaches.
X-ALT-DESC;FMTTYPE=text/html:
In this course we will go over the process and tools used to program Field
Programmable Gate Arrays (FPGAs). \;FPGAs are very flexible devices w
hich consist of large arrays of logic elements which can be connected in a
rbitrary ways. \;The devices can also be reprogrammed on the fly\, in
the field\, allowing them to implement different or improved algorithms.&n
bsp\; One has to be careful on termi
nology\, though. \;Programming typically means writing a program in so
me high\, or low\, level language. \;While we use a form of software t
o specify what we want the FPGA to do\, the term programming has another m
eaning. \;For a FPGA\, programming is the process of applying a bit pa
ttern to the chip which controls the action of the 'switches' that control
the flow of data. \; We will lo
ok at the whole process of developing that bit pattern and applying it to
a device. \; In this course we will have examples from a number of ven
dors\, such as Xilinx\, Intel and Microsemi. \; - Once a design has been developed in a HDL and tested and
verified\, we need to lay it out on the chip. \; This is analogous to
layout for ASICS but it is not as complicated since we are using an existi
ng regular structure. \; Layout is important because of the effect on
performance and the interaction of multiple algorithms that might be imple
mented together. \; Layout tools generally give the engineer a high le
vel of control while allowing for modes that let software pick. \; We
look at these options and approaches.
 \;
CATEGORIES:Education
UID:20170903T1352300Z-511394-1535@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170915T150000
DTEND;TZID=America/Sao_Paulo:20170915T160000
SUMMARY:FPGA Programming - Class 5
DESCRIPTION:In this course we will go over the process and tools used to pr
ogram Field Programmable Gate Arrays (FPGAs). FPGAs are very flexible devi
ces which consist of large arrays of logic elements which can be connected
in arbitrary ways. The devices can also be reprogrammed on the fly\, in t
he field\, allowing them to implement different or improved algorithms. O
ne has to be careful on terminology\, though. Programming typically means
writing a program in some high\, or low\, level language. While we use a f
orm of software to specify what we want the FPGA to do\, the term programm
ing has another meaning. For a FPGA\, programming is the process of applyi
ng a bit pattern to the chip which controls the action of the 'switches' t
hat control the flow of data. We will look at the whole process of develo
ping that bit pattern and applying it to a device. In this course we will
have examples from a number of vendors\, such as Xilinx\, Intel and Micro
semi. September 15 – Day 5 – Programming the Chip Now that we have an alg
orithm developed\, debugged and laid out in our design tolos we are ready
to transfer that to the FPGA chip and run our algorithm there. We will als
o discuss the process of reprogramming the chip during operations and will
discuss how that might be used.
X-ALT-DESC;FMTTYPE=text/html:
In this course we will go over the process and tools used to program Field
Programmable Gate Arrays (FPGAs). \;FPGAs are very flexible devices w
hich consist of large arrays of logic elements which can be connected in a
rbitrary ways. \;The devices can also be reprogrammed on the fly\, in
the field\, allowing them to implement different or improved algorithms.&n
bsp\; One has to be careful on termi
nology\, though. \;Programming typically means writing a program in so
me high\, or low\, level language. \;While we use a form of software t
o specify what we want the FPGA to do\, the term programming has another m
eaning. \;For a FPGA\, programming is the process of applying a bit pa
ttern to the chip which controls the action of the 'switches' that control
the flow of data. \; We will lo
ok at the whole process of developing that bit pattern and applying it to
a device. \; In this course we will have examples from a number of ven
dors\, such as Xilinx\, Intel and Microsemi. \; -
<
div class='field__items'> Now that we have
an algorithm developed\, debugged and laid out in our design tolos we are
ready to transfer that to the FPGA chip and run our algorithm there. We wi
ll also discuss the process of reprogramming the chip during operations an
d will discuss how that might be used.
 \;
CATEGORIES:Education
UID:20170903T1354170Z-511394-1536@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170913T120000
DTEND;TZID=America/Sao_Paulo:20170913T130000
SUMMARY:8 challenges in automotive inspection and how to approach them
DESCRIPTION:In this webcast\, Nigel Holmes\, Vision Technology Manager\, Fe
deral-Mogul Corporation\, will highlight 8 of the main challenges that exi
st when it comes to automotive inspection\, and he will discuss how to app
roach them. • Design challenges and pitfalls to avoid in automotive inspec
tion • How to successfully use machine vision in automotive inspection • R
eal-world examples of success vision deployment • Ideas for making your vi
sion system more robust Register Now>> Watch September 13\, 2017 at 11:00
AM EDT / 10:00 AM CDT / 8:00 AM PDT / 3:00 PM GMT
X-ALT-DESC;FMTTYPE=text/html: In this webcast\, Nigel Holmes\, Vision Tec
hnology Manager\, Federal-Mogul Corporation\, will highlight 8 of the main
challenges that exist when it comes to automotive inspection\, and he wil
l discuss how to approach them.
&bull\; | Design challenges and pitfalls
to avoid in automotive inspection | &bul
l\; | How to successful
ly use machine vision in automotive inspection | &bull\; | Real
-world examples of success vision deployment | &bull\; | Ideas for making your vision system
more robust | Register Now>\;>\; Watch Septem
ber 13\, 2017 at 11:00 AM EDT / 10:00 AM CDT / 8:00 A
M PDT / 3:00 PM GMT
CATEGORIES:Education
UID:20170904T1841280Z-511394-1537@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171004T130000
DTEND;TZID=America/Sao_Paulo:20171004T140000
SUMMARY:How to Design Industrial IoT Systems With LabVIEW 2017's Interopera
ble Data Communication Support
DESCRIPTION: The Industrial Internet of Things (IIoT) incorporates devices
and applications developed by many suppliers and running on many platform
s. To ensure that these complex systems of systems are easier to integrate
– as well as less expensive and more efficient to build – it is critical
to take advantage of standard interfaces and protocols. National Instrumen
ts’ LabVIEW 2017 simplifies integration so that you can rapidly connect to
diverse hardware and software assets. Now\, LabVIEW 2017 incorporates sup
port for the two leading interoperability standards used in IIoT systems:
OPC UA and DDS. Where OPC UA targets device interchangeability\, DDS targe
ts software integration and autonomy. Built-in support for these two stand
ards allows developers and integrators to better take advantage of the pow
er of LabVIEW and NI hardware to build large-scale and heterogeneous IIoT
systems. Join technical experts from NI\, the global leader in virtual ins
trumentation\, and RTI\, the IIoT connectivity framework company\, for thi
s co-hosted webinar. We will cover data communications support in LabVIEW
2017\, introduce OPC and RTI DDS Toolkits\, compare and contrast OPC UA an
d DDS standards and provide guidance on choosing the best solution for arc
hitecting your IIoT solution. Speakers: David Barnett\, VP of Product and
Markets\, RTI Carlos Pazos\, Senior Product Marketing Manager\, National I
nstruments Moderator: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: The Industrial Internet of Thi
ngs (IIoT) incorporates devices and applications developed by many supplie
rs and running on many platforms. To ensure that these complex systems of
systems are easier to integrate &ndash\; as well as less expensive and mor
e efficient to build &ndash\; it is critical to take advantage of standard
interfaces and protocols. National Instruments&rsquo\; LabVIEW 20
17 simplifies integration so that you can rapidly connect to diverse hardw
are and software assets. Now\, LabVIEW 2017 incorporates support for the t
wo leading interoperability standards used in IIoT systems: OPC UA and DDS
. Where OPC UA targets device interchangeability\, DDS targets software in
tegration and autonomy. Built-in support for these two standards allows de
velopers and integrators to better take advantage of the power of LabVIEW
and NI hardware to build large-scale and heterogeneous IIoT systems.
Join technical experts from NI\, the global leader in virtual instrumen
tation\, and RTI\, the IIoT connectivity framework company\, for this co-h
osted webinar. We will cover data communications support in LabVIEW 2017\,
introduce OPC and RTI DDS Toolkits\, compare and contrast OPC UA and DDS
standards and provide guidance on choosing the best solution for architect
ing your IIoT solution. | Speakers: David B
arnett\, VP of Product and Markets\, RTI Carlos Pazos\, Senior Produ
ct Marketing Manager\, National Instruments Moderator: \; Curt Schwaderer\, OpenSystems
Media | |
CATEGORIES:Education
UID:20170904T1843160Z-511394-1538@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170907T120000
DTEND;TZID=America/Sao_Paulo:20170907T130000
SUMMARY:Reducing Development Time & Managing SWaP-C in Defense Electronics
Systems
DESCRIPTION: It is more and more difficult to meet expanding I/O needs\, t
ight budgets and shorter program deployment schedules demanded of today’s
advanced defense systems. DDC got the competitive advantage they needed fr
om Kontron’s high-performance COBALT™ family designed to provide an easy-t
o-integrate\, fully tested\, cost-effective design path. DDC was able to l
everage the modular building benefits COBALT delivers that ensure a proven
scalability enabling “forever young” solutions. And\, using COBALT’s inte
grated established system profile and upgradable processor options\, DDC w
as able to greatly simplify the design process. This webcast with industry
experts details these challenges and how COTS open-architecture platforms
based on standards such as COMExpress enable a modular building block app
roach to help reduce development time and manage SWaP-C requirements to ac
hieve the best system performance. Sponsor: Kontron\, DDC Moderator: Jo
hn McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; It is more and more diffic
ult to meet expanding I/O needs\, tight budgets and shorter program deploy
ment schedules demanded of today&rsquo\;s advanced defense systems. DDC go
t the competitive advantage they needed from Kontron&rsquo\;s high-perform
ance COBALT&trade\; family designed to provide an easy-to-integrate\, full
y tested\, cost-effective design path. DDC was able to leverage the modula
r building benefits COBALT delivers that ensure a proven scalability enabl
ing &ldquo\;forever young&rdquo\; solutions. And\, using COBALT&rsquo\;s i
ntegrated established system profile and upgradable processor options\, DD
C was able to greatly simplify the design process. This webcast wi
th industry experts details these challenges and how COTS open-architectur
e platforms based on standards such as COMExpress enable a modular buildin
g block approach to help reduce development time and manage SWaP-C require
ments to achieve the best system performance.  \; |
Sponsor: Kontron\, DDC Moderator: \; John McHale\, OpenSystems Medi
a | <
a style='color: #fff\; text-decoration: none\;' href='http://email.opensys
temsmedia.com/I0G0VIz0584AFy2y0T0YN00' target='_blank'>REGISTER NOW | |
CATEGORIES:Education
UID:20170904T1847390Z-511394-1539@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170927T120000
DTEND;TZID=America/Sao_Paulo:20170927T130000
SUMMARY:Use Virtualization To Enable Safety-Certified IoT Critical Infrastr
ucture System - Class 2
DESCRIPTION:Companies are updating their critical infrastructure\, and safe
ty has become a key issue\, with increased regulation and risk of litigati
on driving the need for safety certification in industries such as aerospa
ce\, energy\, industrial automation\, medical\, and robotics. One area of
concern is partitioning safety certified and non-safe code and that’s what
we’ll cover in Day 2 of this three-day course. We’ll look at how using vi
rtualization\, Java\, analytics and various types of partitioning in your
IoT design can maximize safety and reduce your overall design cost and ris
k. REGISTER NOW FOR CLASS 2
X-ALT-DESC;FMTTYPE=text/html: Companies are
updating their critical infrastructure\, and safety has become a key issu
e\, with increased regulation and risk of litigation driving the need for
safety certification in industries such as aerospace\, energy\, industrial
automation\, medical\, and robotics. One area of concern is partitioning
safety certified and non-safe code and that&rsquo\;s what we&rsquo\;ll cov
er in Day 2 of this three-day course. We&rsquo\;ll look at how using virtu
alization\, Java\, analytics and various types of partitioning in your IoT
design can maximize safety and reduce your overall design cost and risk.<
/p> REGISTER NOW FOR CLASS 2
CATEGORIES:Education
UID:20170904T1852010Z-511394-1540@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171018T130000
DTEND;TZID=America/Sao_Paulo:20171018T140000
SUMMARY:Addressing Safety Challenges in Full Digital Instrument Clusters
DESCRIPTION:Learn about the next generation of instrument clusters with Bla
ckBerry QNX and Elektrobit Technologies from BlackBerry QNX and Elektrobit
form the foundation of many instrument clusters found in today’s vehicles
. Join us as we discuss some of the challenges present in building the nex
t generation of digital instrument clusters. During this webinar\, you wil
l learn: Design complexity of digital instrument clusters related to embed
ded graphics The new set of challenges that developing full digital instru
ment clusters pose How does one solve the functional safety requirements i
mposed on critical information on the cluster\, such as telltales and gear
positions Speakers: Yi Zheng\, Product Manager\, BlackBerry QNX Martin Ri
edl\, Product Manager\, Elektrobit Automotive GmbH Moderator: Brandon Lew
is\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Learn about the next generation of instrumen
t clusters with BlackBerry QNX and Elektrobit Technologie
s from BlackBerry QNX and Elektrobit form the foundation of many instrumen
t clusters found in today&rsquo\;s vehicles. Join us as we discuss some of
the challenges present in building the next generation of digital instrum
ent clusters. During this webinar\, you will learn: Design complex
ity of digital instrument clusters related to embedded graphics
- The new set of challenges that developing full digital instrument clu
sters pose
- How does one solve the functional safety requirements
imposed on critical information on the cluster\, such as telltales and ge
ar positions
| Speakers: Yi Zheng\,
Product Manager\, BlackBerry QNX Martin Riedl\, Product Manager\, E
lektrobit Automotive GmbH Moderat
or: \; Brandon Lewis\, OpenSystems Media |
tr> |
CATEGORIES:Education
UID:20170904T1853490Z-511394-1541@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171019T160000
DTEND;TZID=America/Sao_Paulo:20171019T170000
SUMMARY:Best Practices for Continuous Testing of IoT Products
DESCRIPTION:Today\, connected products and IoT platforms deliver immersive
experiences throughout customer\, partner and employee engagements. Howeve
r\, adding connectivity to traditional products has its own challenges. Th
e underlining fact is many manufacturers (OEMs) are not prepared to addres
s all complexities involved with adding connectivity to their products. It
’s essential to perform and establish a robust and continuous testing proc
ess that covers not only the connected product but it’s interaction with a
cloud based IoT platform and mobile apps to ensure the IoT product is fun
ctioning as intended before the launch and while in usage. Join us for a j
oint webinar by Ayla Networks and VOLANSYS highlighting comprehensive IoT
product testing best practices with an example of real-world implementatio
n of Ayla connected IoT gateway product – HomeBridge™. Our session on IoT
product quality assurance best practices will focus on: IoT Device Testing
- Gateway and End-Sensor Cloud based IoT Platform Testing Mobile Applicat
ion Testing End-to-End Testing - Functional\, Performance and Security Aut
omated Testing Framework Design Field Trials Our experts will talk about e
nd-to-end testing best practices to build an Ayla connected customized IoT
gateway which connects\, controls and monitors 40+ different smart produc
ts and sensors per gateway. Speakers: Andrei Babulevich\, Lead Software En
gineer\, Ayla Networks Hardik Patel\, Lead IoT Product Engineering Service
s\, VOLANSYS Technologies Moderator: Curt Schwaderer\, OpenSystems Media
REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Today
\, connected products and IoT platforms deliver immersive experiences thro
ughout customer\, partner and employee engagements. However\, adding conne
ctivity to traditional products has its own challenges. The underlining fa
ct is many manufacturers (OEMs) are not prepared to address all complexiti
es involved with adding connectivity to their products. It&rsquo\;s essent
ial to perform and establish a robust and continuous testing process that
covers not only the connected product but it&rsquo\;s interaction with a c
loud based IoT platform and mobile apps to ensure the IoT product is funct
ioning as intended before the launch and while in usage. Join us f
or a joint webinar by Ayla Networks and VOLANSYS highlighting comprehensiv
e IoT product testing best practices with an example of real-world impleme
ntation of Ayla connected IoT gateway product &ndash\; HomeBridge&trade\;.
Our session on IoT product quality assurance best practices will focus on
: - IoT Device Testing - Gateway and End-Sensor
- Clo
ud based IoT Platform Testing
- Mobile Application Testing
<
li>End-to-End Testing - Functional\, Performance and Security - Au
tomated Testing Framework Design
- Field Trials
Ou
r experts will talk about end-to-end testing best practices to build an Ay
la connected customized IoT gateway which connects\, controls and monitors
40+ different smart products and sensors per gateway. |
S
peakers: Andrei Babulevich\, Lead Software Engineer\, Ayla
Networks Hardik Patel\, Lead IoT Product Engineering Services\, VOLA
NSYS Technologies Moderator: 
\; Curt Schwaderer\, OpenSystems Media | <
tr> REGISTER NOW | <
/table> | |
CATEGORIES:Education
UID:20170904T1855410Z-511394-1542@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170908T140000
DTEND;TZID=America/Sao_Paulo:20170908T150000
SUMMARY:How to Optimize Design Flow for Software Defined Radios
DESCRIPTION:Software Defined Radios (SDRs) are not new. In fact\, Joseph M
itola introduced the SDR concept in his seminal paper written over 25 year
s ago. At the time the paper was published many communications experts an
d industry observers anticipated a migration from proprietary\, closed arc
hitectures to software defined approaches. After all\, software defined i
mplied flexibility\, agility and extensibility – all desirable attributes
for communications. What You'll Learn: Hardware abstraction Software d
evelopment environments Heterogenous multiprocessing Technology platforms
and processors and FPGAs Advantages and disadvantages Who Should Attend: G
eography- North and South America Application - Engineer\, signals intelli
gence\, military communications\, signal processing\, spectrum monitoring\
, academic research\, Possible title- Engineer\, test engineer/manager\, p
roject manager\, Sr. project manager\, system architect\, hardware enginee
r\, software developer\, program manager\, manufacturing test engineer\, h
ead of test equipment etc. Need More Details? Register Now
X-ALT-DESC;FMTTYPE=text/html: Software Defined Radios (SDRs) are not new. \; In fact\
, Joseph Mitola introduced the SDR concept in his seminal paper written ov
er 25 years ago. \; At the time the paper was published many communica
tions experts and industry observers anticipated a migration from propriet
ary\, closed architectures to software defined approaches. \; After al
l\, software defined implied flexibility\, agility and extensibility &ndas
h\; all desirable attributes for communications.  \; What You'll Learn:  \; - Hardware abs
traction
- Software development environments
- Heterogenous multiprocessing
li>
- Technology
platforms and processors and FPGAs
- Advantages and disadvantages
Wh
o Should Attend: Geography- Nort
h and South America Application - Engineer\, signals intelligence\,
military communications\, signal processing\, spectrum monitoring\, academ
ic research\, Possible title- Engineer\, test engineer/manager\, pro
ject manager\, Sr. project manager\, system architect\, hardware engineer\
, software developer\, program manager\, manufacturing test engineer\, hea
d of test equipment etc. Need More De
tails?  \; \; \; Register Now  \;  \;
CATEGORIES:Education
UID:20170904T1857520Z-511394-1543@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180620T140000
DTEND;TZID=America/Sao_Paulo:20180620T150000
SUMMARY:Defining and Understanding Growth in the Connected Home Ecosystem
DESCRIPTION:The smart home market has more than doubled since 2014\, overco
ming several market barriers to reach ownership at more than one-fourth of
U.S. broadband households. Now\, to move adoption beyond early adopters\,
industry players are working to deepen consumer understanding of the prod
uct value\, alleviate security concerns\, and improve the user experience
with connected products. This webcast addresses how market players are adj
usting their business strategies and product development to overcome smart
home market challenges\, drive product adoption\, and increase revenue.
Register Now
X-ALT-DESC;FMTTYPE=text/html: The smart home market has more than doub
led since 2014\, overcoming several market barriers to reach ownership at
more than one-fourth of U.S. broadband households. Now\, to move adoption
beyond early adopters\, industry players are working to deepen consumer un
derstanding of the product value\, alleviate security concerns\, and impro
ve the user experience with connected products. This webcast addresses how
market players are adjusting their business strategies and product develo
pment to overcome smart home market challenges\, drive product adoption\,
and increase revenue.  \;Registe
r Now
CATEGORIES:Education
UID:20180610T1802480Z-511394-1805@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180615T140000
DTEND;TZID=America/Sao_Paulo:20180615T150000
SUMMARY:Arm Cortex-M7: keeping real-time real with i.MX RT1050
DESCRIPTION:EXPLORE HOW FEATURES OF THE ARM® CORTEX®-M7 PROCESSOR CAN BE US
ED TO MEET HARD DEADLINE REQUIREMENTS OF REAL-TIME APPLICATIONS In this we
binar\, you will learn: The challenges of hard deadlines and some general
solutions How superscalar processing and cache improves performance\, but
how that works against meeting the real-time requirement How tightly-coupl
ed memory can provide a solution Other considerations including: bus laten
cy\, software management and task scheduling (including RTOS) Register now
to learn more about real time operations on the Arm® Cortex®-M7 featuring
NXP i.MX RT1050. . REGISTER NOW »
X-ALT-DESC;FMTTYPE=text/html: In this webinar
\, you will learn:
- The challenges of hard deadl
ines and some general solutions
- How superscalar processing and cache improves performance\, but
how that works against meeting the real-time requirement
- How tightly-coupled memory can provide
a solution
- Other consi
derations including: bus latency\, software management and task scheduling
(including RTOS)
Register now to learn more about real ti
me operations on the Arm®\; Cortex®\;-M7 featuring \;
NXP i.MX RT1050.  \;
CATEGORIES:Education
UID:20180610T1752520Z-511394-1802@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180615T120000
DTEND;TZID=America/Sao_Paulo:20180615T130000
SUMMARY:How to deploy 3D imaging technology into your inspection applicatio
n
DESCRIPTION:In a free webcast on June 15\, Craig Borsack\, President at G2
Technologies\, will talk about how 3D imaging technology can be used in in
dustrial inspection applications to accomplish various tasks. He will disc
uss when it is appropriate to use 3D vision and the challenges 3D can solv
e\, while also providing insightful tips from real-life experience on how
to successfully deploy 3D technology. What You'll Learn: • The benefits of
using 3D imaging in inspection applications • How 3D technology can be us
ed in inspection • Hardware and software options • Examples of 3D imaging
products Who Should Attend: • Anyone wanting to learn about 3D imaging • S
cientists\, engineers\, designers\, and managers • Current developers who
require a more in-depth understanding of the underlying technology • Those
considering 3D imaging hardware/software in inspection applications • End
users/OEMs REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html: In a free webcast on June 15\, Craig Borsac
k\, President at G2 Technologies\, will talk about how 3D imaging technolo
gy can be used in industrial inspection applications to accomplish various
tasks. He will discuss when it is appropriate to use 3D vision and the ch
allenges 3D can solve\, while also providing insightful tips from real-lif
e experience on how to successfully deploy 3D technology. &bull\;
| The benefits of using 3D imaging in in
spection applications | &bull\; | How 3D technology can be used in inspection &bull\; | Hardware and software
options | &bull\; | Examples of 3D imaging products
| &bull\; | Anyone wanting to learn
about 3D imaging | &bull\; | Scientists\, engineers\, designers\, and managers |
&bull\; | Current developers w
ho require a more in-depth understanding of the underlying technology |
&bull\; | Those consi
dering 3D imaging hardware/software in inspection applications |
&bull\; | End users/OEMs | REGISTER HERE!
CATEGORIES:Education
UID:20180610T1756510Z-511394-1803@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180614T150000
DTEND;TZID=America/Sao_Paulo:20180614T160000
SUMMARY:The role of connectivity in collaborative projects
DESCRIPTION:Project management is all about collaboration based on connecti
vity. But given unlimited capabilities for connectivity among personnel\,
machines\, and systems\, what’s too little and what’s too much? The short
answer is that what users want are applications. This may be something as
basic as a KPI-based portal that allows them to better track and understa
nd the processes they’re responsible for. Going further\, IIoT can support
the execution of a plan. It does so by connecting assets for operational
visibility and good decision making. This webcast will look at how engine
ers develop products\, execute projects\, and sustain productivity–with su
pport from a cyber-physical world. IIoT supports digital transformation. G
oing forward\, decisions made as to how industrial enterprises\, and proje
ct managers\, can leverage a maturing IIoT market\, will have impact. Le
arning objectives: How project management is changing The IIoT infrastruc
ture that supports collaboration As IIoT matures how will KPIs evolve? Wil
l analytics change the engineer’s desktop? Presenters: Renil Paramel\, Se
nior Partner\, Strategy of Things Alan Griffith\, Principal Consultant\, C
ambashi Click here to register today!
X-ALT-DESC;FMTTYPE=text/html:Project management is all about collaborati
on based on connectivity. But given unlimited capabilities for connectivit
y among personnel\, machines\, and systems\, what&rsquo\;s too little and
what&rsquo\;s too much? \; The short answer is that what users
want are applications. This may be something as basic as a KPI-based port
al that allows them to better track and understand the processes they&rsqu
o\;re responsible for. Going further\, IIoT can support the execution of a
plan. It does so by connecting assets for operational visibility and good
decision making. \; This webcast will look at how eng
ineers develop products\, execute projects\, and sustain productivity&ndash\;with support from a cyber-physical world. IIo
T supports digital transformation. Going forward\, decisions made as to ho
w industrial enterprises\, and project managers\, can leverage a maturing
IIoT market\, will have impact. \; \; Learning objectives:
 \; - How project management is changing
- The II
oT infrastructure that supports collaboration
- As IIoT matures ho
w will KPIs evolve?
- Will analytics change the engineer&rsquo\;s
desktop? \;
Presenters: - Renil Paramel\,
Senior Partner\, Strategy of Things
- Alan Griffith\, Principal C
onsultant\, Cambashi
Click here to regis
ter today!
CATEGORIES:Education
UID:20180610T1759250Z-511394-1804@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180627T130000
DTEND;TZID=America/Sao_Paulo:20180627T140000
SUMMARY:Designing Smarter\, Safer Cars with ASIL D-Ready Embedded Vision Pr
ocessors
DESCRIPTION:Overview: Consumers\, OEMs\, and government regulators require
greater levels of automotive functional safety with each new generation of
cars. Embedded vision\, using advanced neural networks\, plays a critical
role in bringing safe autonomous vehicles and ADAS applications to market
. This presentation will describe how AI-enabled automotive embedded visio
n applications can meet automotive safety standards (like ISO 26262). It w
ill explain the technical features and ASIL readiness needed for different
applications using embedded vision processors. We'll also describe the ne
w ASIL B-/C-/D-Ready DesignWare EV6x Embedded Vision Processors with Safet
y Enhancement Package\, which integrate safety-critical hardware features
while maintaining high performance and minimizing area and power. In this
webinar\, you will learn about: The changing landscape of automotive safet
y integrity level (ASIL) requirements for driver assist and safety-critica
l applications New and emerging applications for embedded vision in autono
mous vehicles and advanced driver assistance systems (ADAS) How ASIL D-Rea
dy IP\, such as the DesignWare EV6x Embedded Vision Processors with Safety
Enhancement Package\, helps designers accelerate the development of autom
otive SoCs Register Now
X-ALT-DESC;FMTTYPE=text/html: Ove
rview: | Consumers\, OEMs\, and government regulators require greater level
s of \;automotive \;functional safety \;with each new generation of cars.
Embedded
vision\, using \;advanced neural networks\,
plays a critical role in bringing safe autonomous vehicles and \;ADAS applications \;to market.
This presentatio
n will describe how \;AI-enabled automotive embedded vision ap
plications \;can meet automotive safety standards (li
ke ISO 26262). It will explain the technical features and \;<
strong>ASIL \;readiness needed for different applications usi
ng embedded vision processors.
We'll also describe the new 
\;ASIL B-/C-/D-Ready DesignWare EV6x Embedded Vision Processors \;with Safety Enhancement Package\, which integrate safety-crit
ical hardware features while maintaining \;high \
;performance \;and minimizing area and power. In this webinar\, you will learn about: -
The
changing landscape of \;automotive safety integrity level (AS
IL) \;requirements for driver assist and safety-critical appl
ications -
New and emerging applications for embedded v
ision in autonomous vehicles and advanced driver assistance systems \;
(ADAS) -
How ASIL D-Ready IP\, such as
the \;DesignWare EV6x Embedded Vision Processors&nbs
p\;with Safety Enhancement Package\, helps designers accelerate the develo
pment of \;automotive SoCs -
 \; | <
p>Register Now
CATEGORIES:Education
UID:20180610T1806190Z-511394-1806@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180614T150000
DTEND;TZID=America/Sao_Paulo:20180614T160000
SUMMARY:Leverage Ethernet to Improve Automation
DESCRIPTION: Worried about the cost of Ethernet control systems? AS-Interf
ace addresses those concerns. This event is designed for engineers who wis
h to reduce the installation complexity and cost of an automated system. E
xamples include assembly machines and material handling solutions. Profess
ionals interested in simplifying function safety designs will learn why AS
-Interface Safety at Work offers exceptional flexibility at significantly
reduced costs. We will cover a number of typical diagnostics and troublesh
ooting techniques. Automation is a competitive environment\, and cost cont
ainment is critical. Fortunately\, Ethernet is gaining popularity\, and AS
-Interface is the ideal partner solution enhancing automation in many situ
ations. When you attend this webinar\, you will: Learn why AS-Interface
reduces installation complexity and cost in applications including materia
l handling and general assembly machines Understand why AS-Interface is
an excellent solution for installations that already use Ethernet communic
ation (EtherNet/IP\, Profinet ...) Hear why AS-Interface offers flexible
support for function safety up to SIL3\, PL e Walk away with the knowle
dge needed to successfully design and implement an AS-Interface system REG
ISTER
X-ALT-DESC;FMTTYPE=text/html: \; Worried about the cost of E
thernet control systems? AS-Interface addresses those concerns.
This event is designed for engineers who wish to reduce the installation
complexity and cost of an automated system. Examples include assembly mach
ines and material handling solutions. Professionals interested in simplify
ing function safety designs will learn why AS-Interface Safety at Work off
ers exceptional flexibility at significantly reduced costs. We will cover
a number of typical diagnostics and troubleshooting techniques. Automation
is a competitive environment\, and cost containment is critical. Fortunat
ely\, Ethernet is gaining popularity\, and AS-Interface is the ideal partn
er solution enhancing automation in many situations. When you att
end this webinar\, you will: |
 \; | Learn why AS-Interface
reduces installation complexity and cost in applications including materia
l handling and general assembly machines | |  \; | Understand why AS-Int
erface is an excellent solution for installations that already use Etherne
t communication (EtherNet/IP\, Profinet ...) | |  \; | Hear why AS-Inter
face offers flexible support for function safety up to SIL3\, PL e | <
/tr> |  \; | Walk away with the knowledge needed to successfully design and implem
ent an AS-Interface system | |
REGISTER
CATEGORIES:Education
UID:20180610T1813350Z-511394-1807@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180613T140000
DTEND;TZID=America/Sao_Paulo:20180613T150000
SUMMARY:Arquiteturas para IoT na nuvem
DESCRIPTION:Webinar gratuito Microchip e Amazon Web Services: Arquiteturas
para IoT na Nuvem Participe do webinar gratuito sobre Arquiteturas para Io
T na Nuvem: Segurança\, Conformidade\, Resiliência\, OTA e Inteligência co
m AWS e Microchip\, que acontecerá dia 13 de junho\, às 14h no Embarcados
Experience. Resumo do webinar: Neste webinar serão apresentados os princ
ipais desafios ao desenhar uma arquitetura para IoT onde é possível elimin
ar riscos técnicos e manter o foco no desenvolvimento do negócio transform
ado dado de sensores em inteligência e valor de negócio. O webinar inclui
algumas demonstrações ao-vivo com objetos conectados através de MQTT com T
LS 1.2 e integrações por assistente de voz e inteligência artificial. Cliq
ue aqui para se inscrever
X-ALT-DESC;FMTTYPE=text/html: Webinar gratuito Microchip e Ama
zon Web Services: Arquiteturas para IoT na Nuvem | Participe do webinar gratuito sobre Arquitetur
as para IoT na Nuvem: Seguranç\;a\, Conformidade\, Resiliê\;nci
a\, OTA e Inteligê\;ncia com AWS e Microchip\, que acontecerá\;
dia 13 de junho\, à\;s 14h no \;Embarcados Experience
strong>. |  \; | Resumo d
o webinar: Neste webinar serã\;o apresentados os pr
incipais desafios ao desenhar uma arquitetura para IoT onde é\; poss
í\;vel eliminar riscos té\;cnicos e manter o foco no desenvolv
imento do negó\;cio transformado dado de sensores em inteligê\;
ncia e valor de negó\;cio. O webinar inclui algumas demonstraç
\;õ\;es ao-vivo com objetos conectados atravé\;s de MQTT com T
LS 1.2 e integraç\;õ\;es por assistente de voz e inteligê
\;ncia artificial. Clique aqui para se inscrever
CATEGORIES:Education
UID:20180610T1834080Z-511394-1811@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180620T120000
DTEND;TZID=America/Sao_Paulo:20180620T130000
SUMMARY:The Rise of the Robot Overlords: Clarifying the Industrial IoT
DESCRIPTION: Part 2: How to Choose the Right Connectivity Technology The
smart machine era will be the most disruptive in history. Medical treatme
nt systems\, the power grid\, manufacturing lines\, process control\, and
transportation systems work today the same way they did 20 years ago\, But
in the next few years\, clever engineers in every industry will find a wa
y to leverage the amazing change in compute power and networking. That fea
t will threaten everyone and everything that does not respond. If you are
a designer\, your challenge is to look beyond today's experience into a fu
ture\, the future\, dominated by intelligent distributed computing…the Rob
ot Overlords. In this second webinar of the series\, we examine the key is
sue of connectivity. Connectivity is perhaps the most important aspect of
the Industrial IoT. The Industrial Internet Consortium (IIC) developed the
Industrial Internet Connectivity Framework (IICF) to help designers under
stand the many standards and choose the right one for their applications.
The IICF analysis found that the Industrial IoT space is so big that the c
onnectivity technologies − DDS\, OPC UA\, oneM2M\, RESTful HTTP\, MQTT\, a
nd CoAP − essentially do not overlap. Thus\, by understanding the use case
s\, architectures and target end users\, it is possible to select a best-c
andidate connectivity standard for most problems. This webinar uses the II
CF results to present a simple set of yes-or-no questions. Answering them
will make it easy for you to choose the right standard for your applicatio
n. We will also dig deeper. The IICF provides the background you need to u
nderstand exactly why this choice will fit. The resulting practical advice
should help guide your design. Speaker: Stan Schneider\, CEO\, Real-Time
Innovations\, Inc. (RTI) Moderator: Curt Schwaderer\, OpenSystems Media R
EGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \;
Part 2: How to Choose the Right Connectivity Technology
The smart machine era will be the most disruptive in history. Medical trea
tment systems\, the power grid\, manufacturing lines\, process control\, a
nd transportation systems work today the same way they did 20 years ago\,
But in the next few years\, clever engineers in every industry will find a
way to leverage the amazing change in compute power and networking. That
feat will threaten everyone and everything that does not respond. If you a
re a designer\, your challenge is to look beyond today's experience into a
future\, the future\, dominated by intelligent distributed computing&hell
ip\;the Robot Overlords. In this second webinar of the series\, we
examine the key issue of connectivity. Connectivity is perhaps the most i
mportant aspect of the Industrial IoT. The Industrial Internet Consortium
(IIC) developed the Industrial Internet Connectivity Framework (IICF) to h
elp designers understand the many standards and choose the right one for t
heir applications. The IICF analysis found that the Industrial IoT
space is so big that the connectivity technologies &minus\; DDS\, OPC UA\
, oneM2M\, RESTful HTTP\, MQTT\, and CoAP &minus\; essentially do not over
lap. Thus\, by understanding the use cases\, architectures and target end
users\, it is possible to select a best-candidate connectivity standard fo
r most problems. This webinar uses the IICF results to present a s
imple set of yes-or-no questions. Answering them will make it easy for you
to choose the right standard for your application. We will also dig deepe
r. The IICF provides the background you need to understand exactly why thi
s choice will fit. The resulting practical advice should help guide your d
esign. | Speaker: Stan Schneider\, CEO\, Real-Time Innovations\, Inc. (RTI) <
p>Moderator: \; Curt Schwaderer\, OpenSystems Me
dia | |
CATEGORIES:Education
UID:20180610T1817360Z-511394-1808@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180620T120000
DTEND;TZID=America/Sao_Paulo:20180620T130000
SUMMARY:When it’s not working – Analyzing and addressing issues in typical
machine vision systems
DESCRIPTION:Machine vision systems\, when designed and developed by a knowl
edgeable professional\, can save those utilizing them time and money\, whi
le improving production processes and overall quality\, among various othe
r benefits. Learning to identify problems as quickly as possible is of the
utmost importance\, and during a free webcast on June 20\, David Dechow w
ill provide insight on finding these issues and addressing them in a timel
y manner. What You'll Learn: • The challenges that machine vision applicat
ions present • How to identify and address the issues that arise in machin
e vision systems • Real-life examples of issues that arise in machine visi
on systems • How to set yourself up to avoid such issues going forward Who
Should Attend: • Integrators and end users involved in machine vision sys
tems • Those looking hone their skills on designing vision systems • Those
looking to learn more about identifying and addressing issues in typical
machine vision systems REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html:Machine vision systems\, when designed and
developed by a knowledgeable professional\, can save those utilizing them
time and money\, while improving production processes and overall quality\
, among various other benefits. Learning to identify problems as quickly a
s possible is of the utmost importance\, and during a free webcast on June
20\, David Dechow will provide insight on finding these issues and addres
sing them in a timely manner. &bull\; | The challenges that machine vision applications present | &bull\; | How to identify a
nd address the issues that arise in machine vision systems | &bull\; | Real-life examples of
issues that arise in machine vision systems | &bull\; | How to set yourself up to avoid such
issues going forward | Who Should \;Attend: | &bull\; | Integrators and end users involved in machine v
ision systems &bull\; | Those looking hone their skills on designing vision systems | <
/tr> &bull\; | Those looking
to learn more about identifying and addressing issues in typical machine v
ision systems | REGISTER HERE!
CATEGORIES:Education
UID:20180610T1821560Z-511394-1809@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180821T150000
DTEND;TZID=America/Sao_Paulo:20180821T160000
SUMMARY:Embedded Servers Move to the Edge
DESCRIPTION:Server tech has escaped the data center—with good reason. The e
mergence of AI and other compute- and bandwidth-intensive applications is
creating an urgent need for micro servers that can live at the network edg
e. Join this webinar to learn how to deploy high-performance analytics ri
ght where it’s needed—whether on a factory floor\, on an isolated wind far
m\, or in a mobile medical lab. We will examine the principles of highly r
uggedized server design and highlight critical software considerations suc
h as using hypervisors to fully utilize high-core-count processors. Expert
Speaker: William Sobel\, Chief Strategy Officer/Co-Founder\, VIMANA Mode
rator: Curt Schwaderer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Server tech has esc
aped the data center&mdash\;with good reason. The emergence of AI and othe
r compute- and bandwidth-intensive applications is creating an urgent need
for micro servers that can live at the network edge. \;
Jo
in this webinar to learn how to deploy high-performance analytics right wh
ere it&rsquo\;s needed&mdash\;whether on a factory floor\, on an isolated
wind farm\, or in a mobile medical lab. We will examine the principles of
highly ruggedized server design and highlight critical software considerat
ions such as using hypervisors to fully utilize high-core-count processors
. | Expert Speaker:&nb
sp\; William Sobel\, Chief Strategy Officer/Co-Founder\, VIM
ANA Moderator: \; Curt Schwaderer\, Open
Systems Media | |
CATEGORIES:Education
UID:20180610T1827560Z-511394-1810@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180612T150000
DTEND;TZID=America/Sao_Paulo:20180612T160000
SUMMARY:HOW TO LEVERAGE AMAZON FreeRTOS WITH NXP MCUs FOR YOUR NEXT IoT DES
IGN
DESCRIPTION:Amazon FreeRTOS is an operating system for microcontrollers tha
t makes small\, low-power edge devices easy to program\, deploy\, secure\,
connect\, and manage. It extends the very popular FreeRTOS kernel with so
ftware libraries that make it easy to securely connect these devices to AW
S cloud services like AWS IoT Core or to more powerful edge devices runnin
g AWS Greengrass. Join experts from Amazon and NXP in this two-part webina
r series to learn about the possibilities and benefits of designing your A
WS cloud-connected product using Amazon FreeRTOS on LPC microcontrollers.
Part I | Tuesday\, June 12 | 10 a.m. Central |An Introduction to AWS IoT a
nd Amazon FreeRTOS\; the Concepts and Benefits of Using Them Together with
LPC MCUs The concepts of AWS IoT and Amazon FreeRTOS are introduced\, an
d the capabilities and benefits of the Amazon cloud solution are outlined.
Concepts of AWS IoT\, such as registering and managing ‘things’ and rules
engines are introduced. Finally\, learn how to leverage the NXP LPC54018
IoT Module as an AWS IoT ‘thing’\, and how to run your first example. .
REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:Amazon FreeRTOS is an operating system for
microcontrollers that makes small\, low-power edge devices easy to program
\, deploy\, secure\, connect\, and manage. It extends the very popular Fre
eRTOS kernel with software libraries that make it easy to securely connect
these devices to AWS cloud services like AWS IoT Core or to more powerful
edge devices running AWS Greengrass. Join experts from Amazon and
NXP in this two-part webinar series to learn about the possibilities and
benefits of designing your AWS cloud-connected product using Amazon FreeRT
OS on LPC microcontrollers. - Part I | Tuesda
y\, June 12 | 10 a.m. Central |An Introduction to AWS IoT and Amazon FreeR
TOS\; the Concepts and Benefits of Using Them Together with LPC MCUs \;
The concepts of AWS IoT and Amazon FreeRTOS are introduced
\, and the capabilities and benefits of the Amazon cloud solution are outl
ined. Concepts of AWS IoT\, such as registering and managing &lsquo\;thing
s&rsquo\; and rules engines are introduced. Finally\, learn how to leverag
e the NXP LPC54018 IoT Module as an AWS IoT &lsquo\;thing&rsquo\;\, and ho
w to run your first example. .
CATEGORIES:Education
UID:20180610T1848210Z-511394-1812@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180626T150000
DTEND;TZID=America/Sao_Paulo:20180626T160000
SUMMARY:How To Leverage Amazon FreeRTOS with NXP MCUs for Your Next IoT Des
ign
DESCRIPTION:Amazon FreeRTOS is an operating system for microcontrollers tha
t makes small\, low-power edge devices easy to program\, deploy\, secure\,
connect\, and manage. It extends the very popular FreeRTOS kernel with so
ftware libraries that make it easy to securely connect these devices to AW
S cloud services like AWS IoT Core or to more powerful edge devices runnin
g AWS Greengrass. Join experts from Amazon and NXP in this two-part webina
r series to learn about the possibilities and benefits of designing your A
WS cloud-connected product using Amazon FreeRTOS on LPC microcontrollers.
Part II | Tuesday\, June 26 | 10 a.m. Central |Implementing Your Own IoT S
olution Leveraging AWS Device Shadowing In this session you will learn ho
w to use Device Shadows API – an AWS IoT native functionality – to enable
decoupled interactions between user interfaces (phone\, web \,voice) and N
XP-based connected devices. A live demonstration will be included. . REG
ISTER NOW
X-ALT-DESC;FMTTYPE=text/html:Amazon FreeRTOS is an operating system for
microcontrollers that makes small\, low-power edge devices easy to program
\, deploy\, secure\, connect\, and manage. It extends the very popular Fre
eRTOS kernel with software libraries that make it easy to securely connect
these devices to AWS cloud services like AWS IoT Core or to more powerful
edge devices running AWS Greengrass. Join experts from Amazon and
NXP in this two-part webinar series to learn about the possibilities and
benefits of designing your AWS cloud-connected product using Amazon FreeRT
OS on LPC microcontrollers. - Part II | Tuesd
ay\, June 26 | 10 a.m. Central |Implementing Your Own IoT Solution Leverag
ing AWS Device Shadowing \;
In this session you will lea
rn how to use Device Shadows API &ndash\; an AWS IoT native functionality
&ndash\; to enable decoupled interactions between user interfaces (phone\,
web \,voice) and NXP-based connected devices. A live demonstration will b
e included. .
CATEGORIES:Education
UID:20180610T1850550Z-511394-1813@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180319T160000
DTEND;TZID=America/Sao_Paulo:20180319T170000
SUMMARY:Embedded System Design Techniques™ - Connecting Edge Devices to the
IoT using Amazon FreeRTOS - Day 1
DESCRIPTION:Amazon FreeRTOS is a new operating system designed help embedde
d software developers easily connect their devices to Amazon Web Services
(AWS). Amazon FreeRTOS is based on the popular open source FreeRTOS kernel
but extends those capabilities by providing developers with connectivity
libraries such as MQTT\, TLS\, device provisioning and even firmware updat
es. In this course\, attendees will learn about Amazon FreeRTOS capabiliti
es\, how to get it up and running on hardware and how to connect their own
embedded systems to AWS. March 19 – Day 1 – Introduction to Amazon FreeRT
OS In this session\, attendees will learn about Amazon FreeRTOS and how it
can be used to quickly get an embedded system connected to the internet.
Jacob will break down Amazon FreeRTOS and discuss the FreeRTOS kernel in d
etail. In addition\, developers will understand what connectivity librarie
s and capabilities are available that can be leveraged to speed up embedde
d software development. Attendees will walk away with understanding how Am
azon FreeRTOS fits into the larger picture along with the hardware and sof
tware necessary to get up and running.
X-ALT-DESC;FMTTYPE=text/html:Amazon FreeRT
OS is a new operating system designed help embedded software developers ea
sily connect their devices to Amazon Web Services (AWS). Amazon FreeRTOS i
s based on the popular open source FreeRTOS kernel but extends those capab
ilities by providing developers with connectivity libraries such as MQTT\,
TLS\, device provisioning and even firmware updates. In this course\, att
endees will learn about Amazon FreeRTOS capabilities\, how to get it up an
d running on hardware and how to connect their own embedded systems to AWS
. - In this session\, attendees will learn
about Amazon FreeRTOS and how it can be used to quickly get an embedded s
ystem connected to the internet. Jacob will break down Amazon FreeRTOS and
discuss the FreeRTOS kernel in detail. In addition\, developers will unde
rstand what connectivity libraries and capabilities are available that can
be leveraged to speed up embedded software development. Attendees will wa
lk away with understanding how Amazon FreeRTOS fits into the larger pictur
e along with the hardware and software necessary to get up and running.&nb
sp\;
CATEGORIES:Education
UID:20180310T2343270Z-511394-1713@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180322T160000
DTEND;TZID=America/Sao_Paulo:20180322T170000
SUMMARY:Embedded System Design Techniques™ - Connecting Edge Devices to the
IoT using Amazon FreeRTOS - Day 4
DESCRIPTION:Amazon FreeRTOS is a new operating system designed help embedde
d software developers easily connect their devices to Amazon Web Services
(AWS). Amazon FreeRTOS is based on the popular open source FreeRTOS kernel
but extends those capabilities by providing developers with connectivity
libraries such as MQTT\, TLS\, device provisioning and even firmware updat
es. In this course\, attendees will learn about Amazon FreeRTOS capabiliti
es\, how to get it up and running on hardware and how to connect their own
embedded systems to AWS. March 22 – Day 4 – Amazon Web Services Fundament
als Embedded software developers are very comfortable with writing their s
oftware but for IoT developers\, understanding what happens on the server
can be a complete mystery. In this session\, we’ll walk developers through
the fundamentals of how the cloud works and provide examples on how to se
tup and and use Amazon Web Services to interact with edge devices. Attende
es will walk away with an in-depth understanding about how to use AWS in t
heir IoT applications.
X-ALT-DESC;FMTTYPE=text/html:Amazon FreeRT
OS is a new operating system designed help embedded software developers ea
sily connect their devices to Amazon Web Services (AWS). Amazon FreeRTOS i
s based on the popular open source FreeRTOS kernel but extends those capab
ilities by providing developers with connectivity libraries such as MQTT\,
TLS\, device provisioning and even firmware updates. In this course\, att
endees will learn about Amazon FreeRTOS capabilities\, how to get it up an
d running on hardware and how to connect their own embedded systems to AWS
. -
Embedded software developers are very comfort
able with writing their software but for IoT developers\, understanding wh
at happens on the server can be a complete mystery. In this session\, we&r
squo\;ll walk developers through the fundamentals of how the cloud works a
nd provide examples on how to setup and and use Amazon Web Services to int
eract with edge devices. Attendees will walk away with an in-depth underst
anding about how to use AWS in their IoT applications.
CATEGORIES:Education
UID:20180310T2347070Z-511394-1716@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180323T160000
DTEND;TZID=America/Sao_Paulo:20180323T170000
SUMMARY:Embedded System Design Techniques™ - Connecting Edge Devices to the
IoT using Amazon FreeRTOS - Day 5
DESCRIPTION:Amazon FreeRTOS is a new operating system designed help embedde
d software developers easily connect their devices to Amazon Web Services
(AWS). Amazon FreeRTOS is based on the popular open source FreeRTOS kernel
but extends those capabilities by providing developers with connectivity
libraries such as MQTT\, TLS\, device provisioning and even firmware updat
es. In this course\, attendees will learn about Amazon FreeRTOS capabiliti
es\, how to get it up and running on hardware and how to connect their own
embedded systems to AWS. March 23 – Day 5 – Advanced Amazon FreeRTOS Feat
ures With the fundamentals behind us\, attendees will be provided with exa
mples on advanced techniques that they can use to speed up their product d
evelopment using Amazon FreeRTOS. We’ll examine how a developer can setup
an example application to perform over-the-air (OTA) updates along with ad
vanced debugging techniques such as application tracing that can be used t
o either detect software defects or simply examine how the Amazon FreeRTOS
configuration examples are working.
X-ALT-DESC;FMTTYPE=text/html:Amazon FreeRT
OS is a new operating system designed help embedded software developers ea
sily connect their devices to Amazon Web Services (AWS). Amazon FreeRTOS i
s based on the popular open source FreeRTOS kernel but extends those capab
ilities by providing developers with connectivity libraries such as MQTT\,
TLS\, device provisioning and even firmware updates. In this course\, att
endees will learn about Amazon FreeRTOS capabilities\, how to get it up an
d running on hardware and how to connect their own embedded systems to AWS
. -
With the fundamentals behind us\, attendees
will be provided with examples on advanced techniques that they can use t
o speed up their product development using Amazon FreeRTOS. We&rsquo\;ll e
xamine how a developer can setup an example application to perform over-th
e-air (OTA) updates along with advanced debugging techniques such as appli
cation tracing that can be used to either detect software defects or simpl
y examine how the Amazon FreeRTOS configuration examples are working. 
\;
CATEGORIES:Education
UID:20180310T2350520Z-511394-1717@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180320T160000
DTEND;TZID=America/Sao_Paulo:20180320T170000
SUMMARY:Embedded System Design Techniques™ - Connecting Edge Devices to the
IoT using Amazon FreeRTOS - Day 2
DESCRIPTION:Amazon FreeRTOS is a new operating system designed help embedde
d software developers easily connect their devices to Amazon Web Services
(AWS). Amazon FreeRTOS is based on the popular open source FreeRTOS kernel
but extends those capabilities by providing developers with connectivity
libraries such as MQTT\, TLS\, device provisioning and even firmware updat
es. In this course\, attendees will learn about Amazon FreeRTOS capabiliti
es\, how to get it up and running on hardware and how to connect their own
embedded systems to AWS. March 20 – Day 2 – Setting up and configuring Am
azon FreeRTOS This session will walk developers through the steps necessar
y to setup Amazon FreeRTOS on a microcontroller-based development kit. Att
endees will leverage existing example configurations to quickly get hardwa
re up and running. Developers following along with the course will have th
eir development board connected to AWS by the end of the session.
X-ALT-DESC;FMTTYPE=text/html:Amazon FreeRT
OS is a new operating system designed help embedded software developers ea
sily connect their devices to Amazon Web Services (AWS). Amazon FreeRTOS i
s based on the popular open source FreeRTOS kernel but extends those capab
ilities by providing developers with connectivity libraries such as MQTT\,
TLS\, device provisioning and even firmware updates. In this course\, att
endees will learn about Amazon FreeRTOS capabilities\, how to get it up an
d running on hardware and how to connect their own embedded systems to AWS
. - This session wil
l walk developers through the steps necessary to setup Amazon FreeRTOS on
a microcontroller-based development kit. Attendees will leverage existing
example configurations to quickly get hardware up and running. Developers
following along with the course will have their development board connecte
d to AWS by the end of the session. \;
CATEGORIES:Education
UID:20180310T2342330Z-511394-1714@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180321T160000
DTEND;TZID=America/Sao_Paulo:20180321T170000
SUMMARY:Embedded System Design Techniques™ - Connecting Edge Devices to the
IoT using Amazon FreeRTOS - Day 3
DESCRIPTION:Amazon FreeRTOS is a new operating system designed help embedde
d software developers easily connect their devices to Amazon Web Services
(AWS). Amazon FreeRTOS is based on the popular open source FreeRTOS kernel
but extends those capabilities by providing developers with connectivity
libraries such as MQTT\, TLS\, device provisioning and even firmware updat
es. In this course\, attendees will learn about Amazon FreeRTOS capabiliti
es\, how to get it up and running on hardware and how to connect their own
embedded systems to AWS. March 21 – Day 3 – Connecting to the Cloud In th
is session\, attendees will examine the underlying details on how to conne
ct an embedded system to the cloud. We’ll examine the communication protoc
ols used along with basic security concepts so that attendees understand w
hat is going on behind the scenes with Amazon FreeRTOS. Attendees will wal
k away understanding the major components necessary to connect a device to
the cloud along with potential pitfalls and issues that they could encoun
ter if they don’t leverage existing technologies.
X-ALT-DESC;FMTTYPE=text/html:Amazon FreeRT
OS is a new operating system designed help embedded software developers ea
sily connect their devices to Amazon Web Services (AWS). Amazon FreeRTOS i
s based on the popular open source FreeRTOS kernel but extends those capab
ilities by providing developers with connectivity libraries such as MQTT\,
TLS\, device provisioning and even firmware updates. In this course\, att
endees will learn about Amazon FreeRTOS capabilities\, how to get it up an
d running on hardware and how to connect their own embedded systems to AWS
.
CATEGORIES:Education
UID:20180310T2345130Z-511394-1715@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180417T160000
DTEND;TZID=America/Sao_Paulo:20180417T170000
SUMMARY:Solving Avionics Safety Certification Challenges for Modern Aircraf
t
DESCRIPTION: Whether it's a commercial passenger jet\, a general aviat
ion aircraft\, a military fighter jet\, or combat helicopter\, avionics te
chnology continues to get more and more sophisticated and relies increasin
gly on the latest commercial-off-the-shelf (COTS) hardware and software. C
ivil aviation authorities across the world have become more open more open
to these hardware and software systems to Design Assurance Level (DAL) A
for certification to standards such as DO-178 C. This e-cast of industry e
xperts will discuss the challenges of certifying these hardware and softwa
re tools as well as best practices for doing so. Sponsors: DDC-I\, dSPAC
E\, LDRA Moderator: John McHale\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; |
|  \;
Whether it's a commercial passenger jet\, a general aviation airc
raft\, a military fighter jet\, or combat helicopter\, avionics technology
continues to get more and more sophisticated and relies increasingly on t
he latest commercial-off-the-shelf (COTS) hardware and software. Civil avi
ation authorities across the world have become more open more open to thes
e hardware and software systems to Design Assurance Level (DAL) A for cert
ification to standards such as DO-178 C. This e-cast of industry e
xperts will discuss the challenges of certifying these hardware and softwa
re tools as well as best practices for doing so.  \; | Sponsors: DDC-I\, dSPACE\, LDRA
Moderator: \; John
McHale\, OpenSystems Media | |  \;
CATEGORIES:Education
UID:20180311T0003300Z-511394-1718@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180328T160000
DTEND;TZID=America/Sao_Paulo:20180328T170000
SUMMARY:Understand What It Takes to Design for Vehicle Autonomy
DESCRIPTION: Vehicle Autonomy is one of the most talked about subjects
today in the electronics/engineering community. The key reasons for that
are A) the potential benefit it could bring and B) the difficulty in desig
ning and implementing the technology. In this webcast\, we’ll share some r
eal insights on the current state of the art of Vehicle Autonomy\, both fr
om the silicon perspective as well as the open-source software collaborati
on. We will be sure to include the various safety aspects and focus on pro
duct development. And we’ll also allow enough time to answer your question
s. Sponsor: National Instruments Moderator: Brandon Lewis\, OpenSystems
Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; | |
 \; Vehicle Autonomy is one of t
he most talked about subjects today in the electronics/engineering communi
ty. The key reasons for that are A) the potential benefit it could bring a
nd B) the difficulty in designing and implementing the technology. In this
webcast\, we&rsquo\;ll share some real insights on the current state of t
he art of Vehicle Autonomy\, both from the silicon perspective as well as
the open-source software collaboration. We will be sure to include the var
ious safety aspects and focus on product development. And we&rsquo\;ll als
o allow enough time to answer your questions.  \; | Sponsor: National Instruments
Moderator: \; Bran
don Lewis\, OpenSystems Media | |  \;
CATEGORIES:Education
UID:20180311T0005470Z-511394-1719@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180329T130000
DTEND;TZID=America/Sao_Paulo:20180329T140000
SUMMARY:Predictive Maintenance
DESCRIPTION: In many industrial settings\, we already capturing lots o
f data. However\, that’s just one piece of the Industrial IoT chain. You h
ave to know what to do with that data. But doing a proper analysis in the
Cloud\, designers are far better equipped to make decision at the Edge. Th
is webcast will look at the tools available for predictive maintenance\, a
nd how they match up with the offerings from the Cloud providers. Sponso
r: National Instruments Moderator: Brandon Lewis\, OpenSystems Media REGI
STER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; |
|  \
; In many industrial settings\, we already capturing lots of data.
However\, that&rsquo\;s just one piece of the Industrial IoT chain. You h
ave to know what to do with that data. But doing a proper analysis in the
Cloud\, designers are far better equipped to make decision at the Edge. Th
is webcast will look at the tools available for predictive maintenance\, a
nd how they match up with the offerings from the Cloud providers.  \; | Sponsor: Nationa
l Instruments Moderator: \; Brandon Lewis\, OpenSystems Media | <
td style='padding: 20px\; background-color: #fff\;' colspan='2'>
tr>  \;
CATEGORIES:Education
UID:20180311T0008280Z-511394-1720@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180321T130000
DTEND;TZID=America/Sao_Paulo:20180321T140000
SUMMARY:Bringing the Power of Imaging Radar to Autonomous Driving
DESCRIPTION:The autonomous driving industry requires a sensor that performs
at real time in all lighting and weather conditions. In addition\, in a w
orld where autonomous cars may drive one towards the other on highways at
high speeds\, the sensor must be able to “see” them coming from 300 meters
away\, track velocity\, and detect distance. In this Webinar\, Kobi Maren
ko will explain why radar is the only technology that can overcome these c
hallenges. Kobi will introduce Arbe Robotics’ patented technology for Ult
ra High-Resolution Radar\, based on a proprietary chipset. He will focus o
n the technical aspects of resolving ambiguities\, achieving low false ala
rm rates\, coping with mutual radar interference\, providing high refresh
rates\, while keeping prices low and reliability high. REGISTER
X-ALT-DESC;FMTTYPE=text/html:The autonomo
us driving industry requires a sensor that performs at real time in all li
ghting and weather conditions. In addition\, in a world where autonomous c
ars may drive one towards the other on highways at high speeds\, the senso
r must be able to &ldquo\;see&rdquo\; them coming from 300 meters away\, t
rack velocity\, and detect distance. In this Webinar\, Kobi Marenko will explain why radar is the only techno
logy that can overcome these challenges. \; Kobi will introduce Arbe Robotics&rsquo\; patented technology
for Ultra High-Resolution Radar\, based on a proprietary chipset. He will
focus on the technical aspects of resolving ambiguities\, achieving low fa
lse alarm rates\, coping with mutual radar interference\, providing high r
efresh rates\, while keeping prices low and reliability high. REGISTER
CATEGORIES:Education
UID:20180311T0013320Z-511394-1721@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180321T110000
DTEND;TZID=America/Sao_Paulo:20180321T120000
SUMMARY:How to Design and Build Alexa into the Colibri iMX7 and Chatterbox
AVS Development Board
DESCRIPTION: Olá\, Neste webinar\, detalharemos o conjunto completo
de hardware e software para a Alexa Voice Service(AVS) da Amazon e os pas
sos para implementar o Chatterbox e o módulo Toradex Colibri iMX7 como um
dispositivo Alexa ativado por wake word ou push-button. O Chatterbox simpl
ifica o projeto de dispositivos habilitados por voz enquanto reduz custos
e acelera o processo de integração. Aplicações alvo incluem alto-falantes
inteligentes\, dispositivos smart home e IoT\, dispositivos roteadores e g
ateways\, sound bars e set-top boxes. O projeto do Chatterbox é disponibil
izado gratuitamente no Geppetto\, da Gumstix. O Chatterbox inclui um alto-
falante de 2\,5 watts\, microfone integrado e jack line-in para entrada e
saída de áudio de alta qualidade\, além de conectividade Wi-Fi\, Bluetooth
e Ethernet. Esses recursos acompanham o módulo Toradex Colibri iMX7\, arm
azenamento microSD e relógio de tempo-real (RTC) para criar uma plataforma
de assistente doméstico completa. As placas de desenvolvimento Chatterbox
podem ser usadas por projetistas para prototipagem ou ainda serem facil
mente copiadas emodificadas no Geppetto® D2O para criarem seus próprios pr
ojetos de AVS ou IoT com i.MX7 em minutos. A família de SoCs i.MX7 da NXP
é uma plataforma ideal para várias aplicações embarcadas e de IoT. Tópicos
-chave incluem: Explicações detalhadas sobre o Chatterbox e o Colibri iMX7
Como integrar hardware e softwares para o Alexa Voice Service (AVS) Como
transformar este protótipo em um produto final e atingir os requisitos de
custo necessários Passos simples para montar ou customizar seu Chatterbox
a especificações exatas em minutos usando Geppetto\, uma ferramenta de pro
jeto online Este webinar será ministrado inteiramente em inglês CADASTRE
-SE
X-ALT-DESC;FMTTYPE=text/html: \; Olá\;\,  \;
 \; |  \; |  \
;Neste webinar\, detalharemos o conjunto completo de hardware e software p
ara a Alexa Voice Service(AVS) da Amazon e os passos para implementar o Ch
atterbox e o mó\;dulo Toradex Colibri iMX7 como um dispositivo Alexa
ativado por wake word ou push-button. O Chatterbox simplific
a o projeto de dispositivos habilitados por voz enquanto reduz custos e ac
elera o processo de integraç\;ã\;o. Aplicaç\;õ\;es
alvo incluem alto-falantes inteligentes\, dispositivos smart home e IoT\,
dispositivos roteadores e gateways\, sound bars e set-top boxes. O projet
o do Chatterbox é\; disponibilizado gratuitamente no Geppetto\, da G
umstix. O Chatterbox inclui um alto-falante de 2\,5 watts\, m
icrofone integrado e jack line-in para entrada e saí\;da de á\
;udio de alta qualidade\, alé\;m de conectividade Wi-Fi\, Bluetooth
e Ethernet. Esses recursos acompanham o mó\;dulo Toradex Colibri iMX
7\, armazenamento microSD e reló\;gio de tempo-real (RTC) para criar
uma plataforma de assistente domé\;stico completa. As placas de des
envolvimento Chatterbox podem ser usadas por |
 \; projetistas para prototipagem ou ainda serem facilm
ente copiadas emodificadas no Geppetto®\; D2O para criarem seus pró\;prios projetos de AVS ou
IoT com i.MX7 em minutos. A famí\;lia de SoCs i.MX7 da NXP é\
; uma plataforma ideal para vá\;rias aplicaç\;õ\;es emba
rcadas e de IoT. Tó\;picos-chave incluem: - Explicaç\;õ\;es detalhadas sobre
o Chatterbox e o Colibri iMX7
- Como integrar hardware e software
s para o Alexa Voice Service (AVS)
- Como transformar este prot&oa
cute\;tipo em um produto final e atingir os requisitos de custo necess&aac
ute\;rios
- Passos simples para montar ou customizar seu Chatterbo
x a especificaç\;õ\;es exatas em minutos usando Geppetto\, uma
ferramenta de projeto online
Este webinar ser&aac
ute\; ministrado inteiramente em inglê\;s  \; CADASTRE-SE
CATEGORIES:Education
UID:20180311T0018370Z-511394-1722@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180314T130000
DTEND;TZID=America/Sao_Paulo:20180314T140000
SUMMARY:Utilizing advanced vision technologies in food and beverage inspect
ion
DESCRIPTION:Deploying machine vision technology into food and beverage insp
ection processes can save time and money while drastically minimizing risk
s\, so long as the machine vision system is properly deployed. . In a free
webcast on March 14\, John Salls\, Owner/Engineer\, Vision ICS\, will dis
cuss the ramifications of errors in food and beverage manufacturing proces
ses and how deploying a vision system for inspection can prevent such issu
es. What You'll Learn: • The impact that errors in food and beverage inspe
ction can have • The challenges that food and beverage inspection applicat
ions present and how to address them • What a typical food and beverage ap
plication may look like • The enabling technologies in food and beverage i
nspection • How vision can improve food and beverage production processes
and help with cost Who Should Attend: • Integrators and end users involved
in food and beverage inspection • Those looking for a refresher course on
food and beverage inspection • Those looking to incorporate vision into t
heir food and beverage production processes
X-ALT-DESC;FMTTYPE=text/html:Deploying machine vision technology into food and beverage inspection
processes can save time and money while drastically minimizing risks\, so
long as the machine vision system is properly deployed. . In a
free webcast on March 14\, John Salls\, Owner/Engineer\, Vision ICS\, wil
l discuss the ramifications of errors in food and beverage manufacturing p
rocesses and how deploying a vision system for inspection can prevent such
issues.
&bull\; | The impact that errors in food and bevera
ge inspection can have | &bull\; | <
td style='padding-bottom: 3px\;' valign='top'>The challenges that food and
beverage inspection applications present and how to address them
tr> &bull\; | What a typical food and beverage application may look like<
/td> | &bull\; | The ena
bling technologies in food and beverage inspection | &bull\; | How vision can improve food an
d beverage production processes and help with cost |
&bull\; | Integrators and end users involved in food an
d beverage inspection | &bull\; | Those looking for a refresher
course on food and beverage inspection &bull\; | Those looki
ng to incorporate vision into their food and beverage production processes
|  \;
CATEGORIES:Education
UID:20180311T0020390Z-511394-1723@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180321T140000
DTEND;TZID=America/Sao_Paulo:20180321T150000
SUMMARY:Learn how to develop automotive Near Field Communication (NFC) appl
ications for embedded systems
DESCRIPTION:Overview: Due to the high popularity of NFC in the consumer m
arket\, demand for NFC applications in automotive has increased. To addres
s these automotive applications\, NXP is offering dedicated MCUs\, NFC tra
nsceivers and software products fulfilling highest automotive quality stan
dards. Attendees Will Learn: NFC and its use cases for automotive NFC Foru
m’s NFC Controller Interface (NCI) NXP’s NFC Controller NCx3340 How to set
up the S32K MCU and NCx3340 toolchain How to create a first NFC sample app
lication » Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview:  \; | Due to the high popularity
of NFC in the consumer market\, demand for NFC applications in automotive
has increased. To address these automotive applications\, NXP is offering
dedicated MCUs\, NFC transceivers and software products fulfilling highest
automotive quality standards. Attendees Will
Learn: - NFC and its use cases for automotive
-
NFC Forum&rsquo\;s NFC Controller Interface (NCI)
- NXP&rsquo\;s N
FC Controller NCx3340
- How to setup the S32K MCU and NCx3340 tool
chain
- How to create a first NFC sample application
| &r
aquo\; Register Today |  \;
CATEGORIES:Education
UID:20180311T0025110Z-511394-1724@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180321T160000
DTEND;TZID=America/Sao_Paulo:20180321T170000
SUMMARY:The Rise of the Robot Overlords: Clarifying the Industrial IoT
DESCRIPTION: Part 1: What is the Industrial IoT Anyway? The smart machin
e era will be the most disruptive in history. Medical treatment systems\,
the power grid\, manufacturing lines\, process control and transportation
systems work today the same way they did 20 years ago. But in the next few
years\, engineers in every industry will find a way to leverage the amazi
ng change in compute power and networking. That feat will threaten everyon
e and everything that does not respond. The rise of incredibly-powerful co
nnected computing is not just another of N factors in design. It is the fa
ctor. This change will rewrite vendor relationships\, redefine profitabili
ty\, and re-imagine delivery from environment to cost to product. Today’s
system designs must last decades. If you are a designer\, your challenge i
s to look beyond today's experience into a future\, the future\, dominated
by intelligent computing…the Robot Overlords. This webinar will introduce
a new eBook and a series of webinars detailing the most important technic
al trend of our times\, the Industrial IoT. In this first introduction\, w
e will define key terms and application categories of the IIoT. We will fo
cus on common drivers of the most exciting autonomy applications\, drawing
on real examples from smart medicine\, renewable energy and autonomous ca
rs. Finally\, we look at the economic impact on employment and industry. L
ater sessions will build on this base. We will present a practical selecti
on guide for standards like DDS\, OPC UA\, MQTT\, RESTful HTTP\, OneM2M an
d CoAP. We will analyze data-centric and device-centric system integration
\, scalable cloud-to-edge system design\, and security. Finally\, we will
conclude with a deeper look at the connectivity technology required for to
day’s most imminent smart machines: autonomous vehicles. Speaker: Stan S
chneider\, CEO\, Real-Time Innovations\, Inc. (RTI) Moderator: Curt Schwa
derer\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; Par
t 1: What is the Industrial IoT Anyway? The smart machine
era will be the most disruptive in history. Medical treatment systems\, t
he power grid\, manufacturing lines\, process control and transportation s
ystems work today the same way they did 20 years ago. But in the next few
years\, engineers in every industry will find a way to leverage the amazin
g change in compute power and networking. That feat will threaten everyone
and everything that does not respond. The rise of incredibly-powe
rful connected computing is not just another of N factors in design. It is
the factor. This change will rewrite vendor relationships\, redefine prof
itability\, and re-imagine delivery from environment to cost to product. T
oday&rsquo\;s system designs must last decades. If you are a designer\, yo
ur challenge is to look beyond today's experience into a future\, the futu
re\, dominated by intelligent computing&hellip\;the Robot Overlords.
This webinar will introduce a new eBook and a series of webinars detail
ing the most important technical trend of our times\, the Industrial IoT.<
/p> In this first introduction\, we will define key terms and applicat
ion categories of the IIoT. We will focus on common drivers of the most ex
citing autonomy applications\, drawing on real examples from smart medicin
e\, renewable energy and autonomous cars. Finally\, we look at the economi
c impact on employment and industry. Later sessions will build on
this base. We will present a practical selection guide for standards like
DDS\, OPC UA\, MQTT\, RESTful HTTP\, OneM2M and CoAP. We will analyze data
-centric and device-centric system integration\, scalable cloud-to-edge sy
stem design\, and security. Finally\, we will conclude with a deeper look
at the connectivity technology required for today&rsquo\;s most imminent s
mart machines: autonomous vehicles.  \
; | Speaker: Stan Schneider\, CEO\, Real-Time Inn
ovations\, Inc. (RTI) Moderator:&
nbsp\; Curt Schwaderer\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20180311T0026520Z-511394-1725@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180322T130000
DTEND;TZID=America/Sao_Paulo:20180322T140000
SUMMARY:Best PCB Layout Practices for Frequency Synthesizers
DESCRIPTION:Summary This webcast is an overview of the best techniques for
the optimal layout of Frequency Synthesizers\, with a particular emphasis
on Phase Locked Loops (PLLs). Achieve the best performance from PLL device
s. Minimize spurious emissions from PLLs. Ensure minimal interference from
Frequency Synthesizers to neighboring circuits. Who Should Attend: Desig
n engineers in the following disciplines: RF & Microwave Systems\, High Sp
eed Mixed Signal\, Instrumentation\, and Communications. Can’t attend the
event live? Register at right and we’ll send you a link to view the webin
ar at your convenience. register
X-ALT-DESC;FMTTYPE=text/html: This webcast is an overview of the best technique
s for the optimal layout of Frequency Synthesizers\, with a particular emp
hasis on Phase Locked Loops (PLLs). - Achieve the best perfor
mance from PLL devices.
- Minimize spurious emissions from PLLs.
li>
- Ensure minimal interference from Frequency Synthesizers to neighb
oring circuits.
Who Should Attend: \; \;Design eng
ineers in the following disciplines: RF &\; Microwave Systems\, High Sp
eed Mixed Signal\, Instrumentation\, and Communications. Can&rsquo
\;t attend the event live? \; Register at right and we&rsquo\;ll send
you a link to view the webinar at your convenience. reg
ister  \;
CATEGORIES:Education
UID:20180311T0030590Z-511394-1726@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180313T150000
DTEND;TZID=America/Sao_Paulo:20180313T160000
SUMMARY:Selecting the Right Bench Power Supplies for ECE Labs
DESCRIPTION:Summary: Why this webcast is important: It is very easy to find
a basic power supply that meets your voltage and current requirements. Bu
t when you have more complex requirements and you know the power supply is
an important part of your ECE labs\, what do you need to consider? There
are many other factors to consider when selecting a benchtop DC power supp
ly\, whether for teaching classes or for your own research. This webcast g
ives an overview of various types of bench power supplies\, the factors to
consider\, and the key recommendations to select the right bench power su
pplies to enhance both lab and learning experiences. Join us to learn more
and get answers to your questions. register
X-ALT-DESC;FMTTYPE=text/html:Summary: W
hy this webcast is important: It is very easy to find a basi
c power supply that meets your voltage and current requirements. But when
you have more complex requirements and you know the power supply is an imp
ortant part of your ECE labs\, what do you need to consider? There are man
y other factors to consider when selecting a benchtop DC power supply\, wh
ether for teaching classes or for your own research. This webcast gives an
overview of various types of bench power supplies\, the factors to consid
er\, and the key recommendations to select the right bench power supplies
to enhance both lab and learning experiences. Join us to learn more and ge
t answers to your questions. regi
ster
CATEGORIES:Education
UID:20180311T0051320Z-511394-1732@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180322T160000
DTEND;TZID=America/Sao_Paulo:20180322T170000
SUMMARY:Avionics Safety Certification Challenges with UAVs
DESCRIPTION: Unmanned aircraft\, drones\, remotely-piloted aircraft\, or w
hatever one chooses to call them are increasing in number and often stayin
g ahead of the regulatory bodies tasked with setting their safety standard
s. Compliance with FAA safety certification standards such as DO-178 B and
C for software and DO-254 for hardware is required even for military airc
raft. This e-cast of industry experts will cover the challenges with certi
fying these platforms for flight in civilian airspace and solutions for so
lving those challenges. Sponsors: dSPACE\, LDRA Moderator: John McHale\
, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
 \; | | Unmanne
d aircraft\, drones\, remotely-piloted aircraft\, or whatever one chooses
to call them are increasing in number and often staying ahead of the regul
atory bodies tasked with setting their safety standards. Compliance with F
AA safety certification standards such as DO-178 B and C for software and
DO-254 for hardware is required even for military aircraft. This e
-cast of industry experts will cover the challenges with certifying these
platforms for flight in civilian airspace and solutions for solving those
challenges.  \; | Sponsors:<
/strong> dSPACE\, LDRA Mode
rator: \; John McHale\, OpenSystems Media | <
/tr>  \;
CATEGORIES:Education
UID:20180311T0033430Z-511394-1727@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180320T130000
DTEND;TZID=America/Sao_Paulo:20180320T140000
SUMMARY:Rugged Hardware for Mission-Critical Applications: High Density Com
puting and SFF Embedded Solutions
DESCRIPTION:Join us for this webcast as we discuss the current challenges f
acing the industry\, the current technology landscape\, and look ahead to
new solutions coming to market. What You'll Learn: • Changing Technology L
andscape for C4ISR Missions • Factors Driving the Demand for Rugged High D
ensity Computing and Solutions Coming to Market • Innovative Small Form Fa
ctor Embedded System Architecture and Technologies Who Should Attend: • Pr
ogram Engineers • Systems Engineers • Systems/Platform Integrators • Procu
rement Managers • Prime Defense Contractors
X-ALT-DESC;FMTTYPE=text/html:Join us for this webcast as we discuss the current challenges facing
the industry\, the current technology landscape\, and look ahead to new so
lutions coming to market. &bull\; | Changing Technology Land
scape for C4ISR Missions | &bull\; |
Factors Driving the Demand
for Rugged High Density Computing and Solutions Coming to Market |
tr> &bull\; | Innovative Small Form Factor Embedded System Architecture a
nd Technologies | Wh
o Should Attend: |
table> &bull
\; | Progra
m Engineers | &bull\; | Systems Engineers | &bull\; Systems/Platform Integrators | &bull\;
| Procurement Managers
| &bull\; | Prime Defense Contractors | <
/table>
CATEGORIES:Education
UID:20180311T0036430Z-511394-1728@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180417T130000
DTEND;TZID=America/Sao_Paulo:20180417T140000
SUMMARY:Leveraging Embedded Systems Solutions While Reducing SWaP for EW\,
SIGINT\, and Radar Applications
DESCRIPTION:As enemy threats become more complicated and sophisticated\, th
ere is within the Department of Defense (DoD) a demand for advanced RF and
microwave solutions to counter those threats. Spectrum dominance\, electr
onic warfare (EW)\, radar upgrades\, and other sensor applications require
system designers to leverage multifunction\, multi-platform systems while
also reducing size\, weight\, and power (SWaP) throughout these systems.
This webcast of industry experts will discuss how challenges such as reduc
ed SWaP\, transmit/receive functionality\, up/down conversion\, frequency
synthesis\, and more can be solved. Speaker: Rodger H. Hosking\, Vice-Pr
esident and Co-founder\, Pentek\, Inc. Moderator: John McHale\, OpenSyste
ms Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: As enemy threats become more
complicated and sophisticated\, there is within the Department of Defense
(DoD) a demand for advanced RF and microwave solutions to counter those t
hreats. Spectrum dominance\, electronic warfare (EW)\, radar upgrades\, an
d other sensor applications require system designers to leverage multifunc
tion\, multi-platform systems while also reducing size\, weight\, and powe
r (SWaP) throughout these systems. This webcast of industry expert
s will discuss how challenges such as reduced SWaP\, transmit/receive func
tionality\, up/down conversion\, frequency synthesis\, and more can be sol
ved.  \; |
Speake
r: Rodger H. Hosking\, Vice-President and Co-founder\, Pent
ek\, Inc. Moderator: \;
John McHale\, OpenSystems Media | | <
/tbody>
CATEGORIES:Education
UID:20180311T0040450Z-511394-1729@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180314T160000
DTEND;TZID=America/Sao_Paulo:20180314T170000
SUMMARY:Stamping Engineering Methods for Product Design
DESCRIPTION:The need for simultaneous engineering makes it vital for part d
esigners to understand new technologies and methods. Imagine a stamped met
al component is being designed. Considerations for manufacturability and q
uality must be investigated. This 30-minute Webinar examines an engineerin
g method that understands the relationship between designed features and m
anufacturing cost and quality. It explains how performing analysis early i
n the design cycle will reduce late design changes due to manufacturing pr
oblems\, as well as recognize quality issues and reduce the unwanted influ
ence on other components and the overall system. Click to Register
X-ALT-DESC;FMTTYPE=text/html:The need for simultaneous engineering makes
it vital for part designers to understand new technologies and methods. I
magine a stamped metal component is being designed. Considerations for man
ufacturability and quality must be investigated.
This 30-minute
Webinar examines an engineering method that understands the relationship
between designed features and manufacturing cost and quality. It explains
how performing analysis early in the design cycle will reduce late design
changes due to manufacturing problems\, as well as recognize quality issue
s and reduce the unwanted influence on other components and the overall sy
stem. Clic
k to Register
CATEGORIES:Education
UID:20180311T0043480Z-511394-1730@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180328T100000
DTEND;TZID=America/Sao_Paulo:20180328T110000
SUMMARY:Do protótipo ao produto\, desenvolvendo sistemas embarcados para Io
T de forma rápida e escalável
DESCRIPTION: Garanta a sua inscrição gratuita para o nosso webinar em conj
unto 'Do protótipo ao produto\, desenvolvendo sistemas embarcados para IoT
de forma rápida e escalável'. Traga seu produto para a era do IoT de form
a rápida e eficiente! A velocidade com que o mundo evolui e as tecnologias
que surgem a cada dia demandam dos times de engenharia agilidade no desen
volvimento de produtos e provas de conceito. Neste webinar a Toradex\, com
sua linha de SOM/SBC baseados nos processadores NXP\, junto com Sierra Wi
reless e sua expertise em comunicação sem fio e IoT\, vão apresentar como
desenvolver soluções conectadas de forma rápida e escalável utilizando har
dware de prateleira\, mas que permite customização. Durante o webinar demo
nstraremos como criar uma pequena prova de conceito lendo dados de posicio
namento Global (GPS) em um mini-card com fator de forma MiniPCIe com GPS/3
G conectado a uma placa base Ixora com um Apalis iMX6Q. Através de softwar
e rodando em Linux\, demonstraremos como enviar os dados para a plataforma
de nuvem da Sierra Wireless. Também apresentaremos como as ofertas de har
dware da Toradex e Sierra permitem que você desenvolva rapidamente um prod
uto ou PoC (protótipo) sem nenhum projeto de hardware em uma primeira fase
\, mas que ainda permite que você customize seu produto em uma fase poster
ior onde uma redução de custos é necessária. Neste Webinar você vai aprend
er: Como fazer a leitura de dados de posição e conectar à internet utili
zando Linux Embarcado com os computadores embarcados da Toradex e Modems d
a Sierra Wireless Como desenvolver um protótipo de forma rápida e sem nece
ssidade de projeto Como otimizar custos de fabricação utilizando a ampla g
ama de produtos e ofertas da Toradex e Sierra Wireless. • Como enviar dado
s para a nuvem da Sierra Wireless e criar BIs e Dashboard. Atenção: No fin
al do Webinar haverá uma sessão de perguntas e resposta. REGISTRE-SE
X-ALT-DESC;FMTTYPE=text/html: \; Garanta a sua inscriç\;ã\;o gratu
ita para o nosso webinar em conjunto 'Do protó\;tipo ao produto\,
desenvolvendo sistemas embarcados para IoT de forma rá\;pida e escal
á\;vel'. Traga seu produto para a era do IoT de forma rá\;pida e ef
iciente! A velocidade com que o mundo evolui e as tecnologias que surgem a
cada dia demandam dos times de engenharia agilidade no desenvolvimento de
produtos e provas de conceito. Neste webinar a Toradex\, com sua linha de
SOM/SBC baseados nos processadores NXP\, junto com Sierra Wireless e sua
expertise em comunicaç\;ã\;o sem fio e IoT\, vã\;o apres
entar como desenvolver soluç\;õ\;es conectadas de forma r&aacu
te\;pida e escalá\;vel utilizando hardware de prateleira\, mas que p
ermite customizaç\;ã\;o. Durante o webinar demons
traremos como criar uma pequena prova de conceito lendo dados de posiciona
mento Global (GPS) em um mini-card com fator de forma MiniPCIe com GPS/3G
conectado a uma placa base Ixora com um Apalis iMX6Q. Atravé\;s de s
oftware rodando em Linux\, demonstraremos como enviar os dados para a plat
aforma de nuvem da Sierra Wireless. També\;m apresentaremos como as
ofertas de hardware da Toradex e Sierra permitem que você\; desenvolv
a rapidamente um produto ou PoC (protó\;tipo) sem nenhum projeto de
hardware em uma primeira fase\, mas que ainda permite que você\; cust
omize seu produto em uma fase posterior onde uma reduç\;ã\;o d
e custos é\; necessá\;ria. Neste Webinar voc&ecir
c\; vai aprender:  \; - Como fazer a leitura de dados de posiç\;ã\;o e cone
ctar à\; internet utilizando Linux Embarcado com os computadores emb
arcados da Toradex e Modems da Sierra Wireless
- Como desenvolver
um protó\;tipo de forma rá\;pida e sem necessidade de projeto<
/li>
- Como otimizar custos de fabricaç\;ã\;o utilizando a
ampla gama de produtos e ofertas da Toradex e Sierra Wireless.
- &
bull\; Como enviar dados para a nuvem da Sierra Wireless e criar BIs e Das
hboard.
Atenç\;ã\;o: No final do Webinar haver&
aacute\; uma sessã\;o de perguntas e resposta.  \; REGISTRE-SE
CATEGORIES:Education
UID:20180311T0049020Z-511394-1731@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200915T150000
DTEND;TZID=America/Sao_Paulo:20200915T160000
SUMMARY:Driving the Heat out of Embedded Military Systems: Reducing Thermal
s
DESCRIPTION: Radar\, electronic warfare\, and intelligence\, surveillanc
e and reconnaissance (ISR) systems all depend heavily on superior signal p
rocessing solutions that often leverage commercial processors\, graphics p
rocessors\, FPGAs\, etc. These devices provide unprecedented performance b
ut also create headaches for military embedded systems designers when it c
omes to keeping the systems cool enough for intensive military processing
applications. Reducing component temperatures in these systems is critical
in military applications that are experiencing ever-shrinking size\, weig
ht\, and power (SWaP) requirements. This webcast of industry experts will
discuss the thermal challenges in modern military electronics applications
and cooling strategies to solve them. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; Ra
dar\, electronic warfare\, and intelligence\, surveillance and reconnaissa
nce (ISR) systems all depend heavily on superior signal processing solutio
ns that often leverage commercial processors\, graphics processors\, FPGAs
\, etc. These devices provide unprecedented performance but also create he
adaches for military embedded systems designers when it comes to keeping t
he systems cool enough for intensive military processing applications. Red
ucing component temperatures in these systems is critical in military appl
ications that are experiencing ever-shrinking size\, weight\, and power (S
WaP) requirements. This webcast of industry experts will
discuss the thermal challenges in modern military electronics applications
and cooling strategies to solve them. REGISTER NOW
CATEGORIES:Education
UID:20200910T1422370Z-511394-2256@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200910T150000
DTEND;TZID=America/Sao_Paulo:20200910T160000
SUMMARY:Aplicações gráficas com STM32H7
DESCRIPTION: Desenvolvendo aplicações gráficas usando a mais nova família
de dual core M7 da STmicroelectronics. Objetivo Aprenda como desenvolver i
nterfaces gráficas de alta qualidade para seus projetos de sistemas embarc
ados\, IOT\, wereables ou qualquer outra. Inscrição Inscreva-se agora em:
Webinar: Aplicações gráficas com STM32H7
X-ALT-DESC;FMTTYPE=text/html: \; Desenvolvendo aplicaç\;õ\;es grá\;ficas usando a mai
s nova famí\;lia de dual core M7 da STmicroelectronics.
<
/span> Objetivo Aprenda como desenvolver int
erfaces grá\;ficas de alta qualidade para seus projetos de sistemas
embarcados\, IOT\, wereables ou qualquer outra.
Inscri&cc
edil\;ã\;o Inscreva-se agora em: \;Webinar: Aplicaç\;õ\;es grá\;ficas
com STM32H7
CATEGORIES:Education
UID:20200910T1431200Z-511394-2257@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200912T200000
DTEND;TZID=America/Sao_Paulo:20200912T210000
SUMMARY:Robótica Sustentável - Fabio Souza convida André Cardoso
DESCRIPTION: - Fabio Souza A próxima live será com o André Cardoso da Rob
ótica Sustentável André irá compartilhar sobre seu projeto e como ele vem
impactando na educação em nosso país. Venha participar da Live conosco. Ch
ame os amigos e família que o assunto será muito bacana\, com diversas ide
ias para você aplicar em casa ou na escola. Será dia 12/09 às 20 h no meu
#youtube https://www.youtube.com/watch?v=xVZTRVp1-Yshttp://bit.ly/35hPTji
X-ALT-DESC;FMTTYPE=text/html: \;  \;- Fabio Souza A pró\;xima live ser&aacut
e\; com o André\; Cardoso da Robó\;tica Sustentá\;vel
André\; irá\; compartil
har sobre seu projeto e como ele vem impactando na educaç\;ã\;
o em nosso paí\;s. Venha participar da Live conosco. Chame os amigos e famí\;lia q
ue o assunto será\; muito bacana\, com diversas ideias para voc&ecir
c\; aplicar em casa ou na escola. Será\; dia 12/09 à\;s 20 h no meu #youtube
CATEGORIES:Education
UID:20200910T1440010Z-511394-2258@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200922T130000
DTEND;TZID=America/Sao_Paulo:20200922T140000
SUMMARY:Getting Started with Microcontroller Based Embedded Systems - Day 1
DESCRIPTION: Microcontrollers have found their way into nearly every ima
ginable industry and application. This three-day webinar course will explo
re modern-day microcontrollers -- what designers need to know to get the m
ost out of them\, and their security features\, particularly in data-inten
sive\, embedded AI applications. Sign up for this course to learn what a m
icrocontroller comprises\, where they are used\, and how to get started us
ing and programming them. Day 2 will cover how to properly select a microc
ontroller for a given application\, with special emphasis on AI applicatio
ns at the edge. On Day 3\, we'll provide industry tips\, tricks and best p
ractices for successfully developing microcontroller-based applications --
from lab-based R&D projects to fully deployable systems. Attend one\, two
or all three of the following 1-hour webcasts: Day 1: Microcontroller Fun
damentals Day 2: Selecting the Right Microcontroller Day 3: Best Practices
for Securing and Designing MCU-Based Systems
X-ALT-DESC;FMTTYPE=text/html: \;  \; Microcontrollers have found their way into nearly every ima
ginable industry and application. This
 \;three-day webinar course \;will explore modern-day microcontrollers --
what designers need to know to get the most out of them\, and their \;security features\, particularly in \;data-intensive\, embedded AI applications.
Sign up for this course \;to learn what a microcontroller comprises\, where the
y are used\, and how to get started using and programming them. Day 2 will
cover how to properly \;select a microcontroller \;for a given application\, with special emphasis on \;AI applications at the ed
ge. On Day 3\, we'll provide industry \;tips\, tricks and best practices \;for successfully developing
microcontroller-based applications -- from lab-based R&\;D projects to
fully deployable systems. Attend
one\, two or all three of the following 1-hour webcasts: - Day 1: Microcontroller Fundamentals
- Day 2: Se
lecting the Right Microcontroller
- Day 3: Best Practices for Secu
ring and Designing MCU-Based Systems
 \;
CATEGORIES:Education
UID:20200910T1507020Z-511394-2259@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200923T130000
DTEND;TZID=America/Sao_Paulo:20200923T140000
SUMMARY:Getting Started with Microcontroller Based Embedded Systems - Day 2
DESCRIPTION: Microcontrollers have found their way into nearly every ima
ginable industry and application. This three-day webinar course will explo
re modern-day microcontrollers -- what designers need to know to get the m
ost out of them\, and their security features\, particularly in data-inten
sive\, embedded AI applications. Sign up for this course to learn what a m
icrocontroller comprises\, where they are used\, and how to get started us
ing and programming them. Day 2 will cover how to properly select a microc
ontroller for a given application\, with special emphasis on AI applicatio
ns at the edge. On Day 3\, we'll provide industry tips\, tricks and best p
ractices for successfully developing microcontroller-based applications --
from lab-based R&D projects to fully deployable systems. Attend one\, two
or all three of the following 1-hour webcasts: Day 1: Microcontroller Fun
damentals Day 2: Selecting the Right Microcontroller Day 3: Best Practices
for Securing and Designing MCU-Based Systems
X-ALT-DESC;FMTTYPE=text/html: \;  \; Microcontrollers have found their way into nearly every ima
ginable industry and application. This
 \;three-day webinar course \;will explore modern-day microcontrollers --
what designers need to know to get the most out of them\, and their \;security features\, particularly in \;data-intensive\, embedded AI applications.
Sign up for this course \;to learn what a microcontroller comprises\, where the
y are used\, and how to get started using and programming them. Day 2 will
cover how to properly \;select a microcontroller \;for a given application\, with special emphasis on \;AI applications at the ed
ge. On Day 3\, we'll provide industry \;tips\, tricks and best practices \;for successfully developing
microcontroller-based applications -- from lab-based R&\;D projects to
fully deployable systems. Attend
one\, two or all three of the following 1-hour webcasts: - Day 1: Microcontroller Fundamentals
- Day 2: Se
lecting the Right Microcontroller
- Day 3: Best Practices for Secu
ring and Designing MCU-Based Systems
 \;
CATEGORIES:Education
UID:20200910T1507210Z-511394-2260@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200924T130000
DTEND;TZID=America/Sao_Paulo:20200924T140000
SUMMARY:Getting Started with Microcontroller Based Embedded Systems - Day 3
DESCRIPTION: Microcontrollers have found their way into nearly every ima
ginable industry and application. This three-day webinar course will explo
re modern-day microcontrollers -- what designers need to know to get the m
ost out of them\, and their security features\, particularly in data-inten
sive\, embedded AI applications. Sign up for this course to learn what a m
icrocontroller comprises\, where they are used\, and how to get started us
ing and programming them. Day 2 will cover how to properly select a microc
ontroller for a given application\, with special emphasis on AI applicatio
ns at the edge. On Day 3\, we'll provide industry tips\, tricks and best p
ractices for successfully developing microcontroller-based applications --
from lab-based R&D projects to fully deployable systems. Attend one\, two
or all three of the following 1-hour webcasts: Day 1: Microcontroller Fun
damentals Day 2: Selecting the Right Microcontroller Day 3: Best Practices
for Securing and Designing MCU-Based Systems
X-ALT-DESC;FMTTYPE=text/html: \;  \; Microcontrollers have found their way into nearly every ima
ginable industry and application. This
 \;three-day webinar course \;will explore modern-day microcontrollers --
what designers need to know to get the most out of them\, and their \;security features\, particularly in \;data-intensive\, embedded AI applications.
Sign up for this course \;to learn what a microcontroller comprises\, where the
y are used\, and how to get started using and programming them. Day 2 will
cover how to properly \;select a microcontroller \;for a given application\, with special emphasis on \;AI applications at the ed
ge. On Day 3\, we'll provide industry \;tips\, tricks and best practices \;for successfully developing
microcontroller-based applications -- from lab-based R&\;D projects to
fully deployable systems. Attend
one\, two or all three of the following 1-hour webcasts: - Day 1: Microcontroller Fundamentals
- Day 2: Se
lecting the Right Microcontroller
- Day 3: Best Practices for Secu
ring and Designing MCU-Based Systems
 \;
CATEGORIES:Education
UID:20200910T1507460Z-511394-2261@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170822T150000
DTEND;TZID=America/Sao_Paulo:20170822T160000
SUMMARY:Real Time Location Services for IoT - Day 2
DESCRIPTION:Location service refers to a set of devices and software that a
llow the tracking of all manner of items in an enterprise.In general these
are real time tracking services.Thus\, an enterprise has a real time pict
ure of where everything that needs to be tracked is and can deploy\, resup
ply and maintain all theseitems in a consistent manner.This is a truly Int
ernet of Things (IoT) environment. In the healthcare environment\, this le
vel of management can be critical\, and can also lead to major efficiencie
s. In this course we will review the general field of Real Time Location S
ervices (RTLS) and then discuss their application of and use in a healthca
re setting. August 22 – Day 2 – Tags and Sensors At base\, location servic
es rely on the tags and sensors used. There are many types already in use\
, and more are being developed. We will concentrate on those used in the h
ealthcare environment. One of the most important aspects in healthcare is
accuracy of location and we will address these issues as well.
X-ALT-DESC;FMTTYPE=text/html:Location serv
ice refers to a set of devices and software that allow the tracking of all
manner of items in an enterprise.In general these are real time tracking
services.Thus\, an enterprise has a real time picture of where everything
that needs to be tracked is and can deploy\, resupply and maintain all the
seitems in a consistent manner.This is a truly Internet of Things (IoT) en
vironment. In the healthcare environ
ment\, this level of management can be critical\, and can also lead to maj
or efficiencies. In this course we w
ill review the general field of Real Time Location Services (RTLS) and the
n discuss their application of and use in a healthcare setting.
-
<
div class='field__item even'>At base\, location services rely on the tags
and sensors used. There are many types already in use\, and more are being
developed. We will concentrate on those used in the healthcare environmen
t. One of the most important aspects in healthcare is accuracy of location
and we will address these issues as well. <
/ul>
CATEGORIES:Education
UID:20170818T1636170Z-511394-1509@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170821T150000
DTEND;TZID=America/Sao_Paulo:20170821T160000
SUMMARY:Real Time Location Services for IoT - Day 1
DESCRIPTION:Location service refers to a set of devices and software that a
llow the tracking of all manner of items in an enterprise.In general these
are real time tracking services.Thus\, an enterprise has a real time pict
ure of where everything that needs to be tracked is and can deploy\, resup
ply and maintain all theseitems in a consistent manner.This is a truly Int
ernet of Things (IoT) environment. In the healthcare environment\, this le
vel of management can be critical\, and can also lead to major efficiencie
s. In this course we will review the general field of Real Time Location S
ervices (RTLS) and then discuss their application of and use in a healthca
re setting. August 21 – Day 1 – Overview This lecture will concentrate on
a general description of RTLS. This will include an introduction into the
general technologies used\, and the implementation issues generally encoun
tered. RTLS is much more than just tags and sensors and we will take a sys
tems approach to understanding the topic.
X-ALT-DESC;FMTTYPE=text/html:Location serv
ice refers to a set of devices and software that allow the tracking of all
manner of items in an enterprise.In general these are real time tracking
services.Thus\, an enterprise has a real time picture of where everything
that needs to be tracked is and can deploy\, resupply and maintain all the
seitems in a consistent manner.This is a truly Internet of Things (IoT) en
vironment. In the healthcare environ
ment\, this level of management can be critical\, and can also lead to maj
or efficiencies. In this course we w
ill review the general field of Real Time Location Services (RTLS) and the
n discuss their application of and use in a healthcare setting.
-
This lecture will concentrate o
n a general description of RTLS. This will include an introduction into th
e general technologies used\, and the implementation issues generally enco
untered. RTLS is much more than just tags and sensors and we will take a s
ystems approach to understanding the topic.
CATEGORIES:Education
UID:20170818T1633570Z-511394-1508@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170823T150000
DTEND;TZID=America/Sao_Paulo:20170823T160000
SUMMARY:Real Time Location Services for IoT - Day 3
DESCRIPTION:Location service refers to a set of devices and software that a
llow the tracking of all manner of items in an enterprise.In general these
are real time tracking services.Thus\, an enterprise has a real time pict
ure of where everything that needs to be tracked is and can deploy\, resup
ply and maintain all theseitems in a consistent manner.This is a truly Int
ernet of Things (IoT) environment. In the healthcare environment\, this le
vel of management can be critical\, and can also lead to major efficiencie
s. In this course we will review the general field of Real Time Location S
ervices (RTLS) and then discuss their application of and use in a healthca
re setting. August 23 – Day 3 – Systems and Software The tags and sensors
are important\, but they do not actually do the job by themselves. All of
the data collected by the sensors are collected\, stored and processed by
multiple layers of software which allows a full picture of the collection
of things being monitored to be given. This includes the the instantaneous
location of items as well as the management of them. This might include
inventory management as well as cost management.
X-ALT-DESC;FMTTYPE=text/html:Location serv
ice refers to a set of devices and software that allow the tracking of all
manner of items in an enterprise.In general these are real time tracking
services.Thus\, an enterprise has a real time picture of where everything
that needs to be tracked is and can deploy\, resupply and maintain all the
seitems in a consistent manner.This is a truly Internet of Things (IoT) en
vironment. In the healthcare environ
ment\, this level of management can be critical\, and can also lead to maj
or efficiencies. In this course we w
ill review the general field of Real Time Location Services (RTLS) and the
n discuss their application of and use in a healthcare setting.
-
The tags and sensors are important\,
but they do not actually do the job by themselves. All of the data collect
ed by the sensors are collected\, stored and processed by multiple layers
of software which allows a full picture of the collection of things being
monitored to be given. This includes the the instantaneous location of ite
ms as well as the management of them. \; This might include inventory
management as well as cost management. \; \; \; \;
CATEGORIES:Education
UID:20170818T1639050Z-511394-1510@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170824T150000
DTEND;TZID=America/Sao_Paulo:20170824T160000
SUMMARY:Real Time Location Services for IoT - Day 4
DESCRIPTION:Location service refers to a set of devices and software that a
llow the tracking of all manner of items in an enterprise.In general these
are real time tracking services.Thus\, an enterprise has a real time pict
ure of where everything that needs to be tracked is and can deploy\, resup
ply and maintain all theseitems in a consistent manner.This is a truly Int
ernet of Things (IoT) environment. In the healthcare environment\, this le
vel of management can be critical\, and can also lead to major efficiencie
s. In this course we will review the general field of Real Time Location S
ervices (RTLS) and then discuss their application of and use in a healthca
re setting. August 24 – Day 4 – Implementation Issues Applying location se
rvices in a healthcare environment is a major task. There are many conside
rations. These might include how to apply the tags and locate the sensors
as well as security and management of the location services system as a wh
ole. We will discuss these issues and work through some examples.
X-ALT-DESC;FMTTYPE=text/html:Location serv
ice refers to a set of devices and software that allow the tracking of all
manner of items in an enterprise.In general these are real time tracking
services.Thus\, an enterprise has a real time picture of where everything
that needs to be tracked is and can deploy\, resupply and maintain all the
seitems in a consistent manner.This is a truly Internet of Things (IoT) en
vironment. In the healthcare environ
ment\, this level of management can be critical\, and can also lead to maj
or efficiencies. In this course we w
ill review the general field of Real Time Location Services (RTLS) and the
n discuss their application of and use in a healthcare setting.
-
Applying location services in a hea
lthcare environment is a major task. There are many considerations. These
might include how to apply the tags and locate the sensors as well as secu
rity and management of the location services system as a whole. We will di
scuss these issues and work through some examples.
CATEGORIES:Education
UID:20170818T1640200Z-511394-1511@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170825T150000
DTEND;TZID=America/Sao_Paulo:20170825T160000
SUMMARY:Real Time Location Services for IoT - Day 5
DESCRIPTION:Location service refers to a set of devices and software that a
llow the tracking of all manner of items in an enterprise.In general these
are real time tracking services.Thus\, an enterprise has a real time pict
ure of where everything that needs to be tracked is and can deploy\, resup
ply and maintain all theseitems in a consistent manner.This is a truly Int
ernet of Things (IoT) environment. In the healthcare environment\, this le
vel of management can be critical\, and can also lead to major efficiencie
s. In this course we will review the general field of Real Time Location S
ervices (RTLS) and then discuss their application of and use in a healthca
re setting. August 25 – Day 5 – Available Products and Future Trends There
are a number of available RTLS products in the industry. We will discuss
a sampling of those available. In general these are not compatible. We wil
l also discuss standards that should lead to interoperability between thes
e. As mentioned\, there are always new technologies being developed and an
d existing technologies that are being improved. We will look at some of
these and how they might be used in the healthcare setting.
X-ALT-DESC;FMTTYPE=text/html:Location serv
ice refers to a set of devices and software that allow the tracking of all
manner of items in an enterprise.In general these are real time tracking
services.Thus\, an enterprise has a real time picture of where everything
that needs to be tracked is and can deploy\, resupply and maintain all the
seitems in a consistent manner.This is a truly Internet of Things (IoT) en
vironment. In the healthcare environ
ment\, this level of management can be critical\, and can also lead to maj
or efficiencies. In this course we w
ill review the general field of Real Time Location Services (RTLS) and the
n discuss their application of and use in a healthcare setting.
- There are a number of available RTLS products in
the industry. We will discuss a sampling of those available. In general th
ese are not compatible. We will also discuss standards that should lead to
interoperability between these. As mentioned\, there are always new techn
ologies being developed and and existing technologies that are being impro
ved. \; We will look at some of these and how they might be used in th
e healthcare setting. \;
CATEGORIES:Education
UID:20170818T1642050Z-511394-1512@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170905T120000
DTEND;TZID=America/Sao_Paulo:20170905T130000
SUMMARY:Improving Automotive MOSFET Quality
DESCRIPTION:Summary Do you think that 'AEC-Q101' is a label that guarantees
best in class quality for automotive applications? Have you heard that In
fineon has a strong focus on quality\, but you do not really know what it
means for MOSFETs? Are you convinced that you can differentiate your produ
cts through quality and are searching for the best possible quality? Do yo
u know the added value of 'high quality products'? If your answer is yes t
o one of the above questions\, then you should attend this webcast. After
watching it you will know how Infineon decreases the failure rate\, proves
longer life time and covers mission profiles beyond AEC-Q101 for the Auto
motive MOSFETs. Attendees will learn: How Infineon decreases the failure
rate of the Automotive MOSFET How Infineon proves longer lifetime of the
Automotive MOSFET How Infineon covers mission profiles beyond AEC-Q101 of
the Automotive MOSFET REGISTER
X-ALT-DESC;FMTTYPE=text/html: Do you think that 'AEC-Q101' is a label that guar
antees best in class quality for automotive applications? Have you heard t
hat Infineon has a strong focus on quality\, but you do not really know wh
at it means for MOSFETs? Are you convinced that you can differentiate your
products through quality and are searching for the best possible quality?
Do you know the added value of 'high quality products'? If your answer is
yes to one of the above questions\, then you should attend this webcast.
After watching it you will know how Infineon decreases the failure rate\,
proves longer life time and covers mission profiles beyond AEC-Q101 for th
e Automotive MOSFETs.  \; Attendees will learn: - How Infineon decreases the failure rate of the Automotive MOSFET
How Infineon proves longer lifetime of the Automotive MOSFET - H
ow Infineon covers mission profiles beyond AEC-Q101 of the Automotive MOSF
ET
REGISTER
CATEGORIES:Education
UID:20170818T1654450Z-511394-1514@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170906T130000
DTEND;TZID=America/Sao_Paulo:20170906T140000
SUMMARY:Real-time Antenna Gain and TRP Measurements from a Chamber on your
Desktop
DESCRIPTION:Summary EMSCAN’s RFxpert is a desktop very-near-field test syst
em that is able to accurately measure radiated power and efficiency for an
tennas. This system allows designers to easily and quickly check antenna a
nd wireless device performance without always needing to resort to a chamb
er. New software developed for RFxpert to convert between the very-near-fi
eld and the far-field has shown that radiation patterns can now be measure
d more accurately. These improvements to pattern accuracy mean that gain m
easurements can now be reliably done on the desktop scanners provided by E
MSCAN again reducing the reliance on chambers. This presentation will show
how very-near-field systems can accurately evaluate antenna performance i
ncluding gain measurements in seconds. An explanation of the recent advanc
es along with comparative measurements will be provided. Attendees will Le
arn: How near-field antenna measurement systems work How a near-field syst
em can be made to fit on a desktop How a near-field system can make measur
ements in a second How well do desktop near-field systems compare to chamb
ers REGISTER
X-ALT-DESC;FMTTYPE=text/html: EMSCAN&rsquo\;s RFxpert is a desktop very-near-fi
eld test system that is able to accurately measure radiated power and effi
ciency for antennas. This system allows designers to easily and quickly ch
eck antenna and wireless device performance without always needing to reso
rt to a chamber. New software developed for RFxpert to convert between the
very-near-field and the far-field has shown that radiation patterns can n
ow be measured more accurately. These improvements to pattern accuracy mea
n that gain measurements can now be reliably done on the desktop scanners
provided by EMSCAN again reducing the reliance on chambers. This presentat
ion will show how very-near-field systems can accurately evaluate antenna
performance including gain measurements in seconds. An explanation of the
recent advances along with comparative measurements will be provided.
Attendees will Learn: - How near-field antenna measuremen
t systems work
- How a near-field system can be made to fit on a d
esktop
- How a near-field system can make measurements in a second
- How well do desktop \;near-field systems compare to chamber
s
 \; REGISTER
CATEGORIES:Education
UID:20170818T1656450Z-511394-1515@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170921T150000
DTEND;TZID=America/Sao_Paulo:20170921T160000
SUMMARY:Cyber Security: It Starts with the Embedded System
DESCRIPTION: Some of the most famous information breaches over the past
few years have been a result of entry through embedded and IoT system envi
ronments. Often these breaches are a result of unexpected system architect
ure and service connectivity on the network that allows the hacker to ente
r through an embedded device and make their way to the financial or corpor
ate servers. Join us as experts in embedded security discuss key security
issues for embedded systems and how to address them. Sponsors: LDRA\, Rogu
e Wave Software\, Wind River\, WinSystems Moderator: Curt Schwaderer\, Op
enSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; | |
Some of the most famous information breaches over the past
few years have been a result of entry through embedded and IoT system env
ironments. Often these breaches are a result of unexpected system architec
ture and service connectivity on the network that allows the hacker to ent
er through an embedded device and make their way to the financial or corpo
rate servers. Join us as experts in embedded security discuss key
security issues for embedded systems and how to address them. |
Sponsors: LDRA\, Rogue Wave Software\, Wind River\, W
inSystems Moderator: \;
Curt Schwaderer\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20170818T1659430Z-511394-1516@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170822T150000
DTEND;TZID=America/Sao_Paulo:20170822T160000
SUMMARY:Choosing sensors for the application
DESCRIPTION:Experts provide advice about how to choose sensors for automati
on and control applications. What details about the implementation are imp
ortant for selecting the right type of sensors? An RCEP Professional Devel
opment Hour (PDH) is available. Learning objectives: 1. Define what is to
be sensed and differentiate between the two major areas of applications fo
r sensing\, machine position and conditions and quality of product. 2. Def
ine where sensors can be located and constraints. 3. List methods\, techno
logies\, and considerations for sensor applications. 4. Outline fundamenta
ls of specifying process sensors. 5. Describe several process sensor techn
ologies and their application. 6. Provide lessons learned from installed a
pplications. Speakers: Frank Lamb is founder\, owner\, and manufacturing a
nd automation business consultant\, specializing in controls\, programming
\, machine building and design at Automation Consulting LLC. Christopher J
. Thompson\, PE\, is department manager\, process engineering\, Process So
lutions Department at Matrix Technologies Inc. Register for this webcast
today!
X-ALT-DESC;FMTTYPE=text/html:Experts provide advice about how to choose sensors for automation
and control applications. What details about the implementation are import
ant for selecting the right type of sensors? An RCEP Professional Developm
ent Hour (PDH) is available. Learning objectives:
1. Define what is to be
sensed and differentiate between the two major areas of applications for
sensing\, machine position and conditions and quality of product. 2.
Define where sensors can be located and constraints. 3. List method
s\, technologies\, and considerations for sensor applications. 4. Ou
tline fundamentals of specifying process sensors. 5. Describe severa
l process sensor technologies and their application. 6. Provide less
ons learned from installed applications. Speakers: Frank Lamb is founder
\, owner\, and manufacturing and automation business consultant\, speciali
zing in controls\, programming\, machine building and design at Automation
Consulting LLC. Christopher J. Thompson\, PE\, is department manager\, process e
ngineering\, Process Solutions Department at Matrix Technologies Inc. 
\; Register for this webcast today!<
/p>
CATEGORIES:Education
UID:20170818T1701550Z-511394-1517@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170822T150000
DTEND;TZID=America/Sao_Paulo:20170822T160000
SUMMARY:How to Reduce Production Costs with Rapid CNC Machining
DESCRIPTION:Machining remains a valuable manufacturing method for plastic a
nd metal components. Rapid CNC machining looks at manufacturing differentl
y than traditional CNC machining—understanding how to design for rapid CNC
machining can accelerate production speed and reduce part costs. In our b
rief Webinar\, you will learn: How you can reduce machining costs by simpl
ifying part design How rapid CNC machining can be used for durable jigs an
d fixtures Why designing with moldability in mind early can better prepare
you for a move to injection molding For more information and to registe
r\, click here.
X-ALT-DESC;FMTTYPE=text/html: Machining remains a valuable manufacturing
method for plastic and metal components. Rapid CNC machining looks at manu
facturing differently than traditional CNC machining&mdash\;understanding
how to design for rapid CNC machining can accelerate production speed and
reduce part costs.
In our brief Webinar\, you will learn:
- How you can reduce machining costs by simplifying pa
rt design
- How rapid CNC machining can be used for durable jigs a
nd fixtures
- Why designing with moldability in mind early can bet
ter prepare you for a move to injection molding
 \; Fo
r more information and to register\, click here.
CATEGORIES:Education
UID:20170818T1716090Z-511394-1519@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170831T040000
DTEND;TZID=America/Sao_Paulo:20170831T050000
SUMMARY:Supervise your product's journey with UCODE 8 based universal label
s
DESCRIPTION:JOIN OUR LIVE WEBINAR\, Supervise your product's journey with U
CODE 8 based universal labels You’re invited to join our webinar to learn
more about our latest addition to the RAIN RFID portfolio\, the UCODE 8 ta
g IC with unmatched performance\, will be introduced. Join us for this liv
e webinar as we cover: how UCODE 8 RAIN RFID labels will create a surge in
business results for retailers and brands how to move online order fulfil
lments onto the next level how RAIN RFID helps stores keep products fresh
how UCODE 8 revolutionizes the shopping experience Register now to learn m
ore in our one hour webinar. Featured Speaker: Kurt Bischof\, Global Senio
r Marketing Manager RFID Solutions \, NXP Semiconductors REGISTER
X-ALT-DESC;FMTTYPE=text/html: You&rsquo\;re invited to join our webinar t
o learn more about our latest addition to the RAIN RFID portfolio\, the UC
ODE 8 tag IC with unmatched performance\, will be introduced. Join us for this live webinar as
we cover: - how UCODE 8 RAIN RFID labels will create a
surge in business results for retailers and brands
- how to move on
line order fulfillments onto the next level
- how RAIN RFID helps st
ores keep products fresh
- how UCODE 8 revolutionizes the shopping e
xperience
Register now to learn more in our one hour webinar. Kurt Bis
chof\, Global Senior Marketing Manager RFID Solutions \,
NXP Semiconductors REGISTER
CATEGORIES:Education
UID:20170818T1711420Z-511394-1518@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170831T150000
DTEND;TZID=America/Sao_Paulo:20170831T160000
SUMMARY:Nonlinear Structural Mechanics Analysis of Pipes with COMSOL ®
DESCRIPTION:Description: If you're interested in the numerical simulation o
f nonlinear structural materials and contact analysis\, then tune into thi
s webinar with guest speaker Oren Lever of Gas Technology Institute. We wi
ll discuss how to model and simulate the squeeze-off of polyethylene (PE)
pipes\, a common method that is used to stop gas flow to enable downstream
repairs or perform emergency shutoff. The researchers from Gas Technology
Institute evaluated the minimum allowable squeeze-off distance from a fit
ting with the goal of helping routine operations and maintenance tasks. Du
ring the webinar\, we will show how COMSOL Multiphysics® was used to simul
ate the large deformations and contacts in PE pipe squeeze-off via a user-
defined nonlinear viscoelastic-plastic material model. You can ask questio
ns at the end of the webinar during the Q&A session. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Description: If yo
u're interested in the numerical simulation of nonlinear structural materi
als and contact analysis\, then tune into this webinar with guest speaker
Oren Lever of Gas Technology Institute. We will discuss how to mod
el and simulate the squeeze-off of polyethylene (PE) pipes\, a common meth
od that is used to stop gas flow to enable downstream repairs or perform e
mergency shutoff. The researchers from Gas Technology Institute evaluated
the minimum allowable squeeze-off distance from a fitting with the goal of
helping routine operations and maintenance tasks. During the webi
nar\, we will show how COMSOL Multiphysics®\; was used to simulate the
large deformations and contacts in PE pipe squeeze-off via a user-defined
nonlinear viscoelastic-plastic material model. You can ask questions at th
e end of the webinar during the Q&\;A session. REGISTER
CATEGORIES:Education
UID:20170818T1720570Z-511394-1520@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171010T050000
DTEND;TZID=America/Sao_Paulo:20171010T060000
SUMMARY:ARM and Mentor The Fastest\, Lowest-Cost Route to Developing Mixed
Signal SoCs
DESCRIPTION:Overview Custom mixed signal SoCs (system-on-chips) offer a wea
lth of benefits to the embedded/IoT designer: reduced components costs\, a
dditional functionality\, and product differentiation\, to name a few. To
help in your custom SoC journey\, Arm and Mentor will demonstrate how Arm
Cortex-M processors with the Tanner AMS suite can accelerate time-to-mark
et with the fastest\, easiest route to evaluate\, prototype\, and commerci
alize custom SoCs. What You Will Learn Use the Tanner AMS tools to combine
an analog sensor with an Arm® Cortex®-M0 processor Access industry-leadin
g Arm processor and system IP for no upfront fee through Arm DesignStart
Conduct mixed-signal simulation of the analog block with a digital interfa
ce module and connect it to the Cortex-M0 Who Should Attend Analog designe
r Mixed signal designer Engineering manager REGISTER
X-ALT-DESC;FMTTYPE=text/html: Overview
Custom mixed signal SoCs (system-on-chips) offer a wealth of benefits to t
he embedded/IoT designer: reduced components costs\, additional functional
ity\, and product differentiation\, to name a few. \; To help
in your custom SoC journey\, Arm and Mentor will demonstrate how Arm Corte
x-M processors with the Tanner AMS suite can accelerate time-to-market wit
h the \;fastest\, easiest route to evaluate\, prototype\, and commerci
alize custom SoCs. What You Will Learn - Use the Ta
nner AMS tools to combine an analog sensor with an Arm®\; \;Cortex&
reg\;-M0 processor
- Access industry-leading Arm processor and sys
tem IP for no upfront fee through Arm DesignStart \;
- Conduct
mixed-signal simulation of the analog block with a digital interface modu
le and \;connect it to the Cortex-M0
Who Should Atten
d - Analog designer
- Mixed signal designer
Engineering manager REGISTER
CATEGORIES:Education
UID:20171008T1731130Z-511394-1585@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171012T140000
DTEND;TZID=America/Sao_Paulo:20171012T150000
SUMMARY:The impact of integrations on your product
DESCRIPTION:Hello there\, Every advantage counts in complex product develop
ment. Tools like Jama and JIRA help align hardware and software teams\, bu
t integrating the two can significantly impact your bottom line. On Thursd
ay\, Oct. 12\, join Jama Software and Mike Frazier\, former Xilinx executi
ve and current business process optimization consultant\, as we run throug
h: Using Jama’s seamless integration with JIRA for consistency of data and
end-to-end traceability from requirements to defects and tasks. Perks of
well-defined product requirements and issue tracking When to use Waterfall
vs Agile Reserve Your Seat
X-ALT-DESC;FMTTYPE=text/html: Hello there\, Every advantag
e counts in complex product development. Tools like Jama and JIRA
help align hardware and software teams\, but integrating the two can signi
ficantly impact your bottom line. On Thursday\, Oct. 12\, join Jam
a Software and Mike Frazier\, former Xilinx executive and current business
process optimization consultant\, as we run through: - Using
Jama&rsquo\;s seamless integration with JIRA for consistency of data and
end-to-end traceability from requirements to defects and tasks.
-
Perks of well-defined product requirements and issue tracking
- Wh
en to use Waterfall vs Agile
Reserve Your Seat
div>
CATEGORIES:Education
UID:20171008T1756050Z-511394-1590@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180417T150000
DTEND;TZID=America/Sao_Paulo:20180417T160000
SUMMARY:Enterprise Augmented Reality Success with ThingWorx Studio
DESCRIPTION: Industry leading augmented reality technology is changing the
industrial enterprise landscape by enabling engineers\, manufacturers\, o
perators\, technicians\, sellers and customers to experience products and
services in a completely new way. This webcast will help demonstrate how
ThingWorx Studio helps organizations create scalable augmented reality exp
eriences for a variety of enterprise use cases - without writing any code.
You will learn how to reduce development time and cost by easily incorpor
ating 3D-CAD\, animated sequences and IoT data into interactive user exper
iences that can provide value to your company. Register Now
X-ALT-DESC;FMTTYPE=text/html:  \; Industry leading augmented
reality technology is changing the industrial enterprise landscape by ena
bling engineers\, manufacturers\, operators\, technicians\, sellers and cu
stomers to experience products and services in a completely new way. \
;
This webcast \;will help demonstrate how ThingWorx Studio
helps organizations create scalable augmented reality experiences for a v
ariety of enterprise use cases - without writing any code. You will learn
how to reduce development time and cost by easily incorporating 3D-CAD\, a
nimated sequences and IoT data into interactive user experiences that can
provide value to your company.
CATEGORIES:Education
UID:20180414T1919070Z-511394-1759@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180424T120000
DTEND;TZID=America/Sao_Paulo:20180424T130000
SUMMARY:Learn How to Design and Develop Motor Control Applications with MCU
s using Model-Based Design
DESCRIPTION:In this webinar\, we feature the design and development of a fi
eld oriented control for a permanent magnet synchronous motor using NXP Ma
gniV microcontroller. The workflow will guide you through model simulation
using SIL/PIL models and model deployment on the real-time microcontrolle
r. REGISTER TODAY
X-ALT-DESC;FMTTYPE=text/html:
In this webinar\, we feature the design and development of a fi
eld oriented control for a permanent magnet synchronous motor using NXP Ma
gniV microcontroller. The workflow will guide you through model simulation
using SIL/PIL models and model deployment on the real-time microcontrolle
r. |
CATEGORIES:Education
UID:20180414T1928260Z-511394-1761@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180417T110000
DTEND;TZID=America/Sao_Paulo:20180417T120000
SUMMARY:Learn about LTE Cat M1 & NB-IoT using SARA-R4/N4
DESCRIPTION:Global configurability in the low LTE Cat technologies makes li
fe easier. Take this opportunity for an interactive deep dive into the tec
hnology options offered by the SARA-R4/N4 LTE Cat M1 / NB1 modules. K
ey learning objectives: Evolving cellular technologies – challenges & op
portunities Comparison 2G (GSM/GPRS) / Cat M1 (half- and full duplex) / Ca
t NB1 (NB-IoT) LPWA markets and applications SARA-R4/N4 series features an
d product variants Regional variations of the LTE spectrum SARA-R4/N4 glob
al configuration guide Questions and answers with a product expert Present
er: The webinar will be hosted by Patty Felts\, Product Manager in the C
ellular Product Center at u-blox. REGISTER
X-ALT-DESC;FMTTYPE=text/html:
Global
configurability in the low LTE Cat technologies makes life easier. Take th
is opportunity for an interactive deep dive into the technology options of
fered by the SARA-R4/N4 LTE Cat M1 / NB1 modules. \; | | | |  \; | |
 \; |
Key learning objectives:  \; - Evolving cellular technologies &ndash\; challenges &\; opportuni
ties
- Comparison 2G (GSM/GPRS) / Cat M1 (half- and full duplex) /
Cat NB1 (NB-IoT)
- LPWA markets and applications
- SARA-R
4/N4 series features and product variants
- Regional variations of
the LTE spectrum
- SARA-R4/N4 global configuration guide
Questions and answers with a product expert Presenter:  \; The webinar will b
e hosted by Patty Felts\, Product Manager in the Cellular Product Center a
t u-blox. \; | | <
/tr> | REGISTER
| | <
/tbody>
CATEGORIES:Education
UID:20180414T1937160Z-511394-1763@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180424T140000
DTEND;TZID=America/Sao_Paulo:20180424T150000
SUMMARY:.A71CH PLUG & TRUST FOR IOT SECURITY
DESCRIPTION:TWO-PART WEBINAR SERIES | 30 MINUTES EACH The A71CH is a ready-
to-use secure element for IoT devices providing a root of trust at the IC
level. It delivers chip-to-cloud security right out of the box. You can sa
fely authenticate your device within a system and to IoT clouds and servic
es\, without exposing your secret keys. The A71CH comes with a complete pr
oduct support package that simplifies design-in. It includes sample code f
or major use cases\, extensive application notes and compatible developmen
t kits. Join us in these two sessions to learn about A71CH security IC fea
tures and how to get started with its product support package. Session 1:
A71CH product introduction Get familiar with A71CH key features and benefi
ts\, use cases and product support package. April 24\, 2018 at 10:00 AM CE
ST & 08:00 AM PDT (2 options\, please choose the time that works best for
you) Session 2: Getting started with A71CH product support package Learn
how to get started with A71CH and its support package\, including an examp
le with i.MX6UltraLite. April 26\, 2018 at 10:00 AM CEST & 08:00 AM PDT (
2 options\, please choose the time that works best for you)
X-ALT-DESC;FMTTYPE=text/html: TWO-PART WEBINAR SERIES \;| \;30 MINUTES EACH |
The A71CH is a ready-to-use secure element f
or IoT devices providing a root of trust at the IC level. It delivers chip
-to-cloud security right out of the box. You can safely authenticate your
device within a system and to IoT clouds and services\, without exposing y
our secret keys. The A71CH comes with a complete product support p
ackage that simplifies design-in. It includes sample code for major use ca
ses\, extensive application notes and compatible development kits.
td> | | Join us in these two sessions to learn about A71CH security IC f
eatures and how to get started with its product support package. <
strong>Session 1: \;A71CH product introduction Get fa
miliar with A71CH key features and benefits\, use cases and product suppor
t package. - April 24\, 2018 at 10:00 AM CEST &am
p\; 08:00 AM PDT \;
(2 options\, please choose the time that work
s best for you) Session 2: \;Getting
started with A71CH product support package Learn how to get starte
d with A71CH and its support package\, including an example with i.MX6Ultr
aLite. - April 26\, 2018 at 10:00 AM CEST &\;
08:00 AM PDT \;
(2 options\, please choose the time that works be
st for you) | | <
/tbody>
CATEGORIES:Education
UID:20180422T1947310Z-511394-1764@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201104T140000
DTEND;TZID=America/Sao_Paulo:20201104T150000
SUMMARY:Developing Thread products using nRF Connect SDK
DESCRIPTION: The nRF Connect SDK offers developers an extensible framewo
rk for building very small applications in highly constrained circumstance
s\, and at the same time gives the ability to develop powerful\, richly fe
atured software for advanced applications. Register for us to guide you th
rough the most important settings in your project configuration\, in addit
ion to what libraries and drivers should be added to get a project up and
running. Our Q&A session at the end will give you the opportunity to ask a
ny questions for your Thread product development.
X-ALT-DESC;FMTTYPE=text/html:  \;  \; The nRF Con
nect SDK offers developers an extensible framework for building very small
applications in highly constrained circumstances\, and at the same time g
ives the ability to develop powerful\, richly featured software for advanc
ed applications. Register for us to guide you through the most important s
ettings in your project configuration\, in addition to what libraries and
drivers should be added to get a project up and running. Our Q&\;A sess
ion at the end will give you the opportunity to ask any questions for your
Thread product development.  \;  \;
CATEGORIES:Education
UID:20200914T1729470Z-511394-2277@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20200923T123000
DTEND;TZID=America/Sao_Paulo:20200923T133000
SUMMARY:ISO 26262 Part 9: ASIL and Safety Analysis
DESCRIPTION: Get an introduction to Part 9 of the ISO 26262 standard and
the tools and process that are required to support the safety analysis. T
his session explains what NXP is doing for system safety solutions and whi
ch safety deliverables are available to ease this process. REGISTER NOW »
X-ALT-DESC;FMTTYPE=text/html:  \;  \; Get an introduction to Part 9 of the ISO 26262 standard an
d the tools and process that are required to support the safety analysis.
This session explains what NXP is doing for system safety solutions and wh
ich safety deliverables are available to ease this process. &nb
sp\;REGISTER NOW »\;
CATEGORIES:Education
UID:20200914T1658420Z-511394-2265@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180718T140000
DTEND;TZID=America/Sao_Paulo:20180718T150000
SUMMARY:Specifications to Consider When Choosing High Performance Probes: N
ot all Oscilloscope Probes are Created Equal
DESCRIPTION: Why this Webcast is Important: Modern high speed digital appl
ications have pushed the limit of high bandwidth and high-performance prob
ing solutions. As the bandwidth of your system increases\, the edge speed
of the signal gets faster\, the size of the chipsets and components tends
to be smaller\, and the PCB layout becomes more complex. These trends pose
challenges for probing solutions and there are more things to consider wh
en choosing a high-performance probe than with a lower-bandwidth\, general
purpose probe. The higher the performance\, the more care is needed when
choosing a probe for your application. The webcast will cover the key spec
ifications to consider when choosing a high-performance oscilloscope probe
. It will also provide real-world examples and cover some of the challenge
s you may encounter when using high-performance probes. REGISTER
X-ALT-DESC;FMTTYPE=text/html:  \; Why this Webcast is
Important: Modern high speed digital applications have push
ed the limit of high bandwidth and high-performance probing solutions. As
the bandwidth of your system increases\, the edge speed of the signal gets
faster\, the size of the chipsets and components tends to be smaller\, an
d the PCB layout becomes more complex. These trends pose challenges for pr
obing solutions and there are more things to consider when choosing a high
-performance probe than with a lower-bandwidth\, general purpose probe. Th
e higher the performance\, the more care is needed when choosing a probe f
or your application. The webcast will cover the key specifications to cons
ider when choosing a high-performance oscilloscope probe. It will also pro
vide real-world examples and cover some of the challenges you may encounte
r when using high-performance probes. REGISTER
CATEGORIES:Education
UID:20180329T1801170Z-511394-1747@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180403T150000
DTEND;TZID=America/Sao_Paulo:20180403T160000
SUMMARY:Protect Your IoT Designs from Invasive Attacks
DESCRIPTION: Learn How PUF Technology Protects Networked Devices Toys\
, medical devices\, security cameras—all of these items have been hacked.
Sometimes\, it seems too easy for cybercriminals. And security seems compl
icated\, especially for design engineers without a background in technique
s like cryptography. Fortunately\, protecting your connected designs is
easier than you think. Learn how in a webinar jointly presented by Maxim\
, IEEE\, and Mouser at 11a.m. PST on April 3. In ChipDNA™: Protecting the
Digital World at the Hardware Level\, a panel of experts will cover: Har
dware versus software security ChipDNA physically unclonable function (PUF
) technology and fingerprinting PUF technology Hard-soft IP tagging Regis
ter to attend today!
X-ALT-DESC;FMTTYPE=text/html:  \;
Learn How PUF Technology Protects Networked Devices<
/strong>  \; Toys\, medical devices\, security
cameras&mdash\;all of these items have been hacked. Sometimes\, it seems
too easy for cybercriminals. And security seems complicated\, especially f
or design engineers without a background in techniques like cryptography.&
nbsp\;  \; Fortunately\, protecting your conne
cted designs is easier than you think. Learn how in a webinar jointly pres
ented by Maxim\, IEEE\, and Mouser at 11a.m. PST on April 3. In Ch
ipDNA&trade\;: Protecting the Digital World at the Hardware Level
\, a panel of experts will cover:  \; - Har
dware versus software security
- ChipDNA physically unclonable fun
ction (PUF) technology and fingerprinting
- PUF technology \;<
/li>
- Hard-soft IP tagging
|
| |
CATEGORIES:Education
UID:20180329T1750070Z-511394-1742@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180418T140000
DTEND;TZID=America/Sao_Paulo:20180418T150000
SUMMARY:Making Accurate Signal Integrity Measurements using a Vector Networ
k Analyzer
DESCRIPTION:Why this Webcast is Important: The Vector Network Analyzer is b
ecoming ubiquitous in signal integrity laboratories as data rates increase
inside network and data centers. Crosstalk issues in the physical layer a
re causing more bit errors at the receiver as users try push more data thr
ough the channel. This webcast will review tools and techniques for making
quality signal integrity measurements using a Vector Network Analyzer. Th
e webcast will begin with an overview of challenges to making signal integ
rity measurements and methods to overcome these challenges. This will be f
ollowed by techniques for identifying sources of crosstalk\, channel loss\
, reflections and eye closure. Advanced error correction techniques will b
e discussed at the end of the webcast. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Why this Webcast is Important: The Vector Network Analyzer is becoming ubiquitous in signal int
egrity laboratories as data rates increase inside network and data centers
. Crosstalk issues in the physical layer are causing more bit errors at th
e receiver as users try push more data through the channel. This webcast w
ill review tools and techniques for making quality signal integrity measur
ements using a Vector Network Analyzer. The webcast will begin with an ove
rview of challenges to making signal integrity measurements and methods to
overcome these challenges. This will be followed by techniques for identi
fying sources of crosstalk\, channel loss\, reflections and eye closure. A
dvanced error correction techniques will be discussed at the end of the we
bcast. REGISTER
CATEGORIES:Education
UID:20180329T1754350Z-511394-1744@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180404T140000
DTEND;TZID=America/Sao_Paulo:20180404T150000
SUMMARY:Altium Designer® 18: High Performance Made Simple
DESCRIPTION: Join to discover: The most cohesive\, user-friendly interface
that will enable you to achieve productivity right “out of the box.” 64-b
it and multi-threaded task optimizations that will allow you to design and
release large\, complex boards - quickly and with ease. One single design
environment for schematic\, board layout\, and manufacturing outputs. D
emo will showcase the following key topics: Interconnected Multi-Board Ass
embly User-guided Routing with ActiveRoute® Seamless Documentation Process
with OutJobs\, Draftsman® and ACTIVEBOM® Visual Power Analysis with PDN A
nalyzer™ Contact the team Our dedicated team is here to help you. You can
reach us anytime by phone at 760 231 0760 or email us at webform@altium.co
m and a team member will get back to you right away. Many Thanks\, Your
Altium Team
X-ALT-DESC;FMTTYPE=text/html: \;
Join to discover:
| - The most cohesive\, use
r-friendly interface that will enable you to achieve productivity right &l
dquo\;out of the box.&rdquo\;
- 64-bit and multi-threaded task opt
imizations that will allow you to design and release large\, complex board
s - quickly and with ease.
- One single design environment for sch
ematic\, board layout\, and manufacturing outputs.
 \;
Demo will showcase the following key topics: - Inter
connected Multi-Board Assembly
- User-guided Routing with ActiveRo
ute®\;
- Seamless Documentation Process with OutJobs\, Draftsma
n®\; and ACTIVEBOM®\;
- Visual Power Analysis with PDN Analy
zer&trade\;
| | | <
/tbody> |
Contact the
team | Our dedicated team is
here to help you. You can reach us anytime by phone at 760 231 076
0 or email us at webform@
altium.com and a team member will get back to you right away.
 \; Many Thanks\, Your Altium Team |
CATEGORIES:Education
UID:20180329T1751500Z-511394-1743@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180815T150000
DTEND;TZID=America/Sao_Paulo:20180815T160000
SUMMARY:Techniques for Component Characterization at Millimeter Wave Freque
ncies
DESCRIPTION:Why this Webcast is Important: With the demand for the transfer
of large volumes of data at high speeds\, there is an increasing need to
utilize the millimeter wave frequency band. This places a demand on design
ers and manufacturers in the industry to fully characterize and test both
active and passive components at the millimeter wave frequencies. This web
cast will focus on how a Vector Network Analyzer can address the need for
millimeter wave component characterization for both passive and active dev
ices. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Why this Webcast is Important: With the demand for the transfer of large volumes of data at hig
h speeds\, there is an increasing need to utilize the millimeter wave freq
uency band. This places a demand on designers and manufacturers in the ind
ustry to fully characterize and test both active and passive components at
the millimeter wave frequencies. This webcast will focus on how a Vector
Network Analyzer can address the need for millimeter wave component charac
terization for both passive and active devices. REGISTER
CATEGORIES:Education
UID:20180329T1802420Z-511394-1748@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180912T150000
DTEND;TZID=America/Sao_Paulo:20180912T160000
SUMMARY:400G/CEI 4.0 Characterization and Compliance testing – Time to get
Serious!
DESCRIPTION:Why this Webcast is Important: The first complete designs incor
porating 802.3bs 400Gb Ethernet and CEI-56G using 56+Gb lanes with PAM4 ar
e hitting the characterization labs now. As with each new generation of da
ta center serial link standards\, the margins between simulations and perf
ormance of actual devices and systems are increasingly tight. Achieving ac
curate results requires the highest level of care in configuring\, de-embe
dding and calibrating the test setup. This webcast uncovers details in tes
t setup and measurement execution which are critical for getting accurate
results. REGISTER
X-ALT-DESC;FMTTYPE=text/html:Why this Webcast is Important: The first complete designs incorporating 802.3bs 400Gb Ethernet
and CEI-56G using 56+Gb lanes with PAM4 are hitting the characterization l
abs now. As with each new generation of data center serial link standards\
, the margins between simulations and performance of actual devices and sy
stems are increasingly tight. Achieving accurate results requires the high
est level of care in configuring\, de-embedding and calibrating the test s
etup. This webcast uncovers details in test setup and measurement executio
n which are critical for getting accurate results. REGISTER
CATEGORIES:Education
UID:20180329T1804160Z-511394-1749@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20181017T150000
DTEND;TZID=America/Sao_Paulo:20181017T160000
SUMMARY:Terabit Communication Research with an Oscilloscope and Optical Mod
ulation Analyzer
DESCRIPTION: Why this Webcast is Important: Research is underway to increa
se the data throughput for existing optical fiber long haul communication
through higher symbol rate and complex modulation. Higher performance inst
rumentation with low noise floors\, high effective number of bits and tigh
t channel synchronization is needed to achieve the research breakthrough.
This webcast will discuss how to address specific measurement challenges w
ith oscilloscope and optical modulation analyzer solutions. REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; Why this Webcast is
Important: Research is underway to increase the data throug
hput for existing optical fiber long haul communication through higher sym
bol rate and complex modulation. Higher performance instrumentation with l
ow noise floors\, high effective number of bits and tight channel synchron
ization is needed to achieve the research breakthrough. This webcast will
discuss how to address specific measurement challenges with oscilloscope a
nd optical modulation analyzer solutions. REGISTER
CATEGORIES:Education
UID:20180329T1805220Z-511394-1750@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180405T150000
DTEND;TZID=America/Sao_Paulo:20180405T160000
SUMMARY:Case Studies of Medical Device Package Failures
DESCRIPTION:WESTPAK presents a webinar focused on medical device package fa
ilures and avoidance strategies. The information provided is designed to s
hare knowledge and experience to help you understand the failures observed
during package testing\, their likely origins\, and steps you can take to
prevent the defects in your products. The case studies reviewed include p
ackage failures observed following common test lab inputs including aging\
, manual handling\, concentrated impact\, compression\, vibration\, gross
leak detection\, and more. Register Now →
X-ALT-DESC;FMTTYPE=text/html:WESTPAK presents a webinar focused on medic
al device package failures and avoidance strategies. The information provi
ded is designed to share knowledge and experience to help you understand t
he failures observed during package testing\, their likely origins\, and s
teps you can take to prevent the defects in your products.
The
case studies reviewed include package failures observed following common t
est lab inputs including aging\, manual handling\, concentrated impact\, c
ompression\, vibration\, gross leak detection\, and more.
CATEGORIES:Education
UID:20180329T1806380Z-511394-1751@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180411T150000
DTEND;TZID=America/Sao_Paulo:20180411T160000
SUMMARY:Securing Edge Devices for IoT
DESCRIPTION: As IoT grows\, so do the opportunities for attackers to com
promise systems. What is the best way to enhance security for smaller reso
urce-constrained devices at the edge? Join us for this web seminar to disc
over what security strategy you should consider and what Wind River® open
source technologies you can leverage when developing your next IoT edge de
vice. What you will learn: The current state of security concerns relate
d to IoT Best practices for creating secure IoT devices How Wind River Pul
sar™ Linux provides a secure platform with integrated security features yo
u can leverage today
X-ALT-DESC;FMTTYPE=text/html: \; |
| <
/tr> | |
As IoT grows\, so do the opportuniti
es for attackers to compromise systems. What is the best way to enhance se
curity for smaller resource-constrained devices at the edge?
Join us for this web seminar to discover what security strategy you should
consider and what Wind River®\; open source technologies yo
u can leverage when developing your next IoT edge device. | | | | | |
The current state of security concerns related to IoT | <
td valign='middle' width='37'>
Best practices for creating s
ecure IoT devices | | How Wind River Pulsar&trade\; Linux provides a secure
platform with integrated security features you can leverage today |
tr> | | <
/tbody> | | <
/table>
CATEGORIES:Education
UID:20180329T1808280Z-511394-1752@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180405T120000
DTEND;TZID=America/Sao_Paulo:20180405T130000
SUMMARY:Enabling Next-Generation EW and Phased-Array Systems
DESCRIPTION: Overview: Sponsored by Analog Devices and Arrow. Electroni
c Warfare (EW) systems often require wide instantaneous bandwidths across
broad frequency ranges. 28nm process converters\, broadband MMICs\, and a
standardized baseband interface now simplify EW system design\, test and d
eployment. Similarly\, integrated\, single-IC transceivers provide alterna
tive small-size\, low-power EW solutions. This webcast details the benefit
s of these devices to those interested in gaining an edge in the EW indust
ry. Who Should Attend: Those interested in EW or phased-array system desi
gn who would benefit from wideband converters and monolithic microwave int
egrated circuits (MMICs) and/or more-integrated ICs.
X-ALT-DESC;FMTTYPE=text/html: \;  \; Overview: Sponsored by Analog Devices and Arrow. \; Electronic
Warfare (EW) systems often require wide instantaneous bandwidths across b
road frequency ranges. 28nm process converters\, broadband MMICs\, and a s
tandardized baseband interface now simplify EW system design\, test and de
ployment. Similarly\, integrated\, single-IC transceivers provide alternat
ive small-size\, low-power EW solutions. This webcast details the benefits
of these devices to those interested in gaining an edge in the EW industr
y. \;
Who Should Attend: Those inter
ested in EW or phased-array system design who would benefit from wideband
converters and monolithic microwave integrated circuits (MMICs) and/or mor
e-integrated ICs. | |  \;
CATEGORIES:Education
UID:20180329T1809380Z-511394-1753@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170427T193000
DTEND;TZID=America/Sao_Paulo:20170427T203000
SUMMARY:Desenvolvimento de produto: do protótipo a produção
DESCRIPTION:O desenvolvimento de um produto não acontece da noite para o di
a\, são necessárias algumas etapas desde a ideia/MVP (produto mínimo viáve
l) até chegar a produção em escala. Durante o processo de desenvolvimento
de um produto é importante entender o que o cliente/mercado precisa\, vali
dar os recursos importantes para o projeto\, escolha de boas ferramentas d
e desenvolvimento\, desenvolvimento de fornecedores\, validações e testes\
, etc. O desenvolvimento de hardware no Brasil não é uma tarefa fácil\, o
desenvolvedor passa por diversos obstáculos\, que podem ser de origem técn
ica\, como a falta de conhecimento e/ou experiência em uma determinada arq
uitetura de microcontrolador/microprocessador\, em um sistema operacional
utilizado no projeto\, linguagem de programação ou ferramentas de desenvol
vimento. Tais problemas podem ser superados com estudo\, participação de t
reinamentos\, consulta a comunidade ou contratação de terceiros. Por outro
lado\, os desenvolvedores ainda precisam enfrentar os altos impostos/cus
tos de importação de componentes e ferramentas de desenvolvimento\, além
do tempo de espera. Esse webinar visa discutir as etapas de desenvolviment
o de produtos\, onde os convidados irão compartilhar suas experiências e d
arão dicas para contornar os problemas que podem ser encontrados durante o
desenvolvimento de um produto. Aproveite para tirar suas dúvidas e compa
rtilhar suas experiências. No final do webinar você poderá ganhar uma Ra
spberry Pi Zero W através de uma dinâmica que será feita ao vivo (promoção
válida apenas para os participantes ao vivo e residentes no Brasil). REGI
STRO
X-ALT-DESC;FMTTYPE=text/html: O desenvolvimento de um produto nã\;o acontece d
a noite para o dia\, sã\;o necessá\;rias algumas etapas desde
a ideia/MVP (produto mí\;nimo viá\;vel) até\; chegar a p
roduç\;ã\;o em escala. Durante o processo de desenvolvimento d
e um produto é\; importante entender o que o cliente/mercado precisa
\, validar os recursos importantes para o projeto\, escolha de boas ferram
entas de desenvolvimento\, desenvolvimento de fornecedores\, validaç
\;õ\;es e testes\, etc. O desenvolvimento de hardware no Brasil
nã\;o é\; uma tarefa fá\;cil\, o desenvolvedor passa por
diversos obstá\;culos\, que podem ser de origem té\;cnica\, como a falta de conhecimento e/ou e
xperiê\;ncia em uma determinada arquitetura de microcontrolador/micro
processador\, em um sistema operacional utilizado no projeto\, linguagem d
e programaç\;ã\;o ou ferramentas de desenvolvimento. Tais prob
lemas podem ser superados com estudo\, participaç\;ã\;o de tre
inamentos\, consulta a comunidade ou contrataç\;ã\;o de tercei
ros. Por outro lado\, os desenvolvedores  \;ainda precisam enfrentar o
s altos impostos/custos de importaç\;ã\;o de componentes e &nb
sp\;ferramentas de desenvolvimento\, alé\;m do tempo de espera.
Esse webinar visa discutir as etapas de desenvolvimento de produtos\, onde
os convidados irã\;o compartilhar suas experiê\;ncias e dar&at
ilde\;o dicas para contornar os problemas que podem ser encontrados durant
e o desenvolvimento de um  \;produto. Aproveite para tirar suas dú\;vidas e compartilhar s
uas experiê\;ncias.  \; No final do webinar você
\; poderá\; ganhar uma Raspberry Pi Zero W atravé\;s de uma di
nâ\;mica que será\; feita ao vivo (promoç\;ã\;o v&a
acute\;lida apenas para os participantes ao vivo e residentes no Brasil).<
/span> REGISTRO
CATEGORIES:Education
UID:20170419T1840470Z-511394-1325@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170427T160000
DTEND;TZID=America/Sao_Paulo:20170427T170000
SUMMARY:EE Times and Embedded.com Present: Embedded Markets Study
DESCRIPTION:Overview: For more than 20 years\, EETimes.com and Embedded.c
om have undertaken their annual Embedded Markets Study in which we ask the
engineers battling in the trenches to keep us informed as to current the
state of play and the most pressing challenges regarding their current cre
ations and future projects. In this year’s study\, we added focused questi
ons regarding the IoT and the adoption of advanced technologies. Approxima
tely what percentage of embedded projects will be primarily devoted to the
IoT in the coming year? How many embedded projects are currently using\,
or plan to use\, embedded vision\, embedded speech\, virtual reality\, aug
mented reality\, and/or cognitive (machine learning) capabilities? *All at
tendees of the Embedded Study Webinar will receive an official copy of the
Embedded Market Study. » Register Today
X-ALT-DESC;FMTTYPE=text/html:Overview:  \; | For m
ore than 20 years\, EETimes.com and Embedded.com have undertaken their ann
ual Embedded Markets Study in which we ask the engineers battling in the t
renches to keep us informed as to current the state of play and the most p
ressing challenges regarding their current creations and future projects.<
br /> In this year&rsquo\;s study\, we added focused questions rega
rding the IoT and the adoption of advanced technologies. Approximately wha
t percentage of embedded projects will be primarily devoted to the IoT in
the coming year? How many embedded projects are currently using\, or plan
to use\, embedded vision\, embedded speech\, virtual reality\, augmented r
eality\, and/or cognitive (machine learning) capabilities? *All attendees of the Embedded Study Webinar will receive an official co
py of the Embedded Market Study.  \; | »\; Regist
er Today |  \;
CATEGORIES:Education
UID:20170419T1845410Z-511394-1326@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171012T140000
DTEND;TZID=America/Sao_Paulo:20171012T150000
SUMMARY:Exploring LED Design and Applying it to the Science of Plant Growth
DESCRIPTION:Summary In this webinar\, you will gain a deeper understanding
of connecting the benefits of LED technology to the science of plant growt
h. Additionally\, you will learn about some unique solution capabilities
to commercialize products targeted at horticulture applications. Attendees
Will Learn: LED Fundamentals Complexities for designing effective LED gro
w light solutions Benefits of LED technology versus traditional lighting a
pplications Entire system enablement: a) Power\, Optical\, Thermal\, and C
ontrol Considerations b) Turnkey Manufacturing c) World-class global suppl
y chain and logistics register
X-ALT-DESC;FMTTYPE=text/html: In this webinar\, you will gain a deeper understa
nding of connecting the benefits of LED technology to the science of plant
growth. \; Additionally\, you will learn about some unique solution c
apabilities to commercialize products targeted at horticulture application
s. Attendees Will Learn: - LED Fundamentals
-
Complexities for designing effective LED grow light solutions
- Benefits of LED technology versus traditional li
ghting applications
- Entire system en
ablement:
- a) Power\, Optical\, Therm
al\, and Control Considerations
- b) T
urnkey Manufacturing
- c) World-class
global supply chain and logistics
 \;
register
CATEGORIES:Education
UID:20171008T1814300Z-511394-1594@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180220T140000
DTEND;TZID=America/Sao_Paulo:20180220T150000
SUMMARY:What is Next in Cellular IoT and How Can We Test It?
DESCRIPTION:Summary NB-IoT and LTE-M will connect millions of devices to th
e Internet of Things. There are two main areas: applications for massive m
achine type communications (mMTC) that focus on low power\, low cost\, wid
e coverage and low bandwidth and applications that require ultra-reliable\
, low latency communications (uRLLC). This webinar provides a comprehensiv
e technology outlook\, explains further trends in cellular/mobile IoT and
discusses major upcoming test challenges: testing of battery lifetime\, se
cure/private communications and latency. Attendees will learn: Status quo
of 3GPP standardization for cellular/mobile IoT (Rel.13/14) Upcoming techn
ologies and features such as mMTC/uRLLC\, 5G NR-IoT and MulteFire Test sol
utions for today’s and tomorrow’s Internet of Things REGISTER
X-ALT-DESC;FMTTYPE=text/html: NB-IoT and LTE-M will connect millions of devic
es to the Internet of Things. There are two main areas: applications for m
assive machine type communications (mMTC) that focus on low power\, low co
st\, wide coverage and low bandwidth and applications that require ultra-r
eliable\, low latency communications (uRLLC). This webinar provides a comp
rehensive technology outlook\, explains further trends in cellular/mobile
IoT and discusses major upcoming test challenges: testing of battery lifet
ime\, secure/private communications and latency. Attendees
will learn: - Status qu
o of 3GPP standardization for cellular/mobile IoT (Rel.13/14)
- Upcoming technologies and features such as mMTC/
uRLLC\, 5G NR-IoT and MulteFire
- Test
solutions for today&rsquo\;s and tomorrow&rsquo\;s Internet of Things
REGISTER  \;
CATEGORIES:Education
UID:20180220T1702500Z-511394-1693@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170126T170000
DTEND;TZID=America/Sao_Paulo:20170126T180000
SUMMARY:Enhance your DOORS experience
DESCRIPTION: There are many frustrations with DOORS users today. DOORS Nex
t Generation\, based on Rational Jazz technology\, was released in 2012 -
a long time ago in technology time. Now that the product is being overhaul
ed\, there are conflicting reports about which features IBM is revising or
migrating to the newer version. But you don’t have to wait. You can enhan
ce your current DOORS deployment today by adding many of the core function
s of Polarion products. If you work in regulated industries\, where electr
onic signatures\, baseline comparisons\, or data merged from other sources
are essential - Polarion allows this added functionality to your current
development environment. Switching to Polarion allows you to to batch migr
ate existing DOORS data\, maximize your DOORS customization and modernize
your software requirements management. With Polarion you will gain agility
\, seamless collaboration and ensure full traceability from requirements t
o test cases. Attend this webinar to find out how Siemens PLM Software cus
tomers report significant benefits in migrating from DOORS to Polarion Req
uirements and Polarion ALM. Who should attend this webinar: Engineers invo
lved with product development design\, quality\, risk\, and resource sched
uling. DOORS users needing to maintain their current DOORS system\, but al
so needing to expand and implement requirements management across their de
partment or enterprise. Developers needing to connect or coexist with DOOR
S data for change management\, defect/issue management\, test management\,
or traceability. Managers seeking to improve efficiencies\, collaboration
\, and reduce costs by more than 70% without upsetting legacy methods\, pr
ocesses\, or development cycles. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | There are many frustrations with DOORS users today. DOORS Next Ge
neration\, based on Rational Jazz technology\, was released in 2012 - a lo
ng time ago in technology time. Now that the product is being overhauled\,
there are conflicting reports about which features IBM is revising or mig
rating to the newer version. But you don&rsquo\;t have to wait. Yo
u can enhance your current DOORS deployment today by adding many of the co
re functions of Polarion products. If you work in regulated industries\, w
here electronic signatures\, baseline comparisons\, or data merged from ot
her sources are essential - Polarion allows this added functionality to yo
ur current development environment. Switching to Polarion allows y
ou to to batch migrate existing DOORS data\, maximize your DOORS customiza
tion and modernize your software requirements management. With Polarion yo
u will gain agility\, seamless collaboration and ensure full traceability
from requirements to test cases. Attend this webinar to find out h
ow Siemens PLM Software customers report significant benefits in migrating
from DOORS to Polarion Requirements and Polarion ALM. Who should
attend this webinar: - Engineers involved with product develo
pment design\, quality\, risk\, and resource scheduling.
- DOORS u
sers needing to maintain their current DOORS system\, but also needing to
expand and implement requirements management across their department or en
terprise.
- Developers needing to connect or coexist with DOORS da
ta for change management\, defect/issue management\, test management\, or
traceability.
- Managers seeking to improve efficiencies\, collabo
ration\, and reduce costs by more than 70% without upsetting legacy method
s\, processes\, or development cycles.
 \; |
 \; | |
CATEGORIES:Education
UID:20170115T1326060Z-511394-1168@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170119T140000
DTEND;TZID=America/Sao_Paulo:20170119T150000
SUMMARY:Getting the Requirements Right for Safety Critical Systems
DESCRIPTION: Safety critical systems\, whether in avionics\, automotive\,
and medical applications are built on requirements. Today the complexity o
f these systems in each market is expanding at an exponential rate. Combin
e that with compressed product development schedules and the need for a st
rong requirements foundation has never been greater. This webcast featurin
g Vance Hilderman\, CEO of safety-critical systems and software engineerin
g consultant AFuzion\, covers best practices for requirements basics\, mis
takes to avoid\, regulatory priorities for system requirements including I
SO26262\, IEC 61508\, DO-178C\, IEC 62304\, and DO-254\, cost benefits\, a
nd more. Speakers: Vance Hilderman\, CEO\, AFuzion Moderator: John McHale
\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: | | Safety critical systems\, whether in avionics\, automotiv
e\, and medical applications are built on requirements. Today the complexi
ty of these systems in each market is expanding at an exponential rate. Co
mbine that with compressed product development schedules and the need for
a strong requirements foundation has never been greater. This webc
ast featuring Vance Hilderman\, CEO of safety-critical systems and softwar
e engineering consultant AFuzion\, covers best practices for requirements
basics\, mistakes to avoid\, regulatory priorities for system requirements
including ISO26262\, IEC 61508\, DO-178C\, IEC 62304\, and DO-254\, cost
benefits\, and more. | Speakers: Vance Hild
erman\, CEO\, AFuzion Moderator:&
nbsp\; John McHale\, OpenSystems Media | REGISTER NOW | |  \;<
/p>
CATEGORIES:Education
UID:20170115T1320030Z-511394-1169@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170125T170000
DTEND;TZID=America/Sao_Paulo:20170125T180000
SUMMARY:Interactive Design of Electrostatic Headphones Using COMSOL Server
DESCRIPTION:In this presentation\, we will discuss how to build a fully cou
pled\, MEMS-acoustic interaction model of electrostatic headphones in COMS
OL Multiphysics®. The dynamics of an electrostatic speaker is a true multi
physics phenomenon that involves the nonlinear relationship of structural
mechanics\, electrostatics\, electrical conduction\, and acoustics. Learn
about how Dr. Brett Marmo from Xi Engineering Consultants used simulation
apps and COMSOL Server™ to create a more streamlined and interactive desig
n process. Dr. Marmo will demonstrate how he designed a graphic user inter
face based on an electrostatic headphone model\, which enabled his clients
without modeling experience to virtually test proposed design modificatio
ns. You will also hear how clients accessed the simulation app using COMSO
L Server™ installed on a virtual private cloud (VPC) to test the size and
thickness of device components\, their elastic and electric properties\, a
nd the thickness and aperture size of the wire mesh. The presentation will
conclude with an audience Q&A. For more information and to re
gister\, click here.
X-ALT-DESC;FMTTYPE=text/html: In thi
s presentation\, we will discuss how to build a fully coupled\, MEMS-acous
tic interaction model of electrostatic headphones in COMSOL Multiphysics&r
eg\;. The dynamics of an electrostatic speaker is a true multiphysics phen
omenon that involves the nonlinear relationship of structural mechanics\,
electrostatics\, electrical conduction\, and acoustics.
Learn
about how Dr. Brett Marmo from Xi Engineering Consultants used simulation
apps and COMSOL Server&trade\; to create a more streamlined and interacti
ve design process. Dr. Marmo will demonstrate how he designed a graphic us
er interface based on an electrostatic headphone model\, which enabled his
clients without modeling experience to virtually test proposed design mod
ifications.
You will also hear how clients accessed the simul
ation app using COMSOL Server&trade\; installed on a virtual private cloud
(VPC) to test the size and thickness of device components\, their elastic
and electric properties\, and the thickness and aperture size of the wire
mesh. The presentation will conclud
e with an audience Q&\;A.
 \; |  \; |  \; |  \; |  \; |
|  \; | For more information and to register\, click here. |  \;
CATEGORIES:General
UID:20170115T1331570Z-511394-1171@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170105T170000
DTEND;TZID=America/Sao_Paulo:20170105T180000
SUMMARY:Securing Your IoT Implementations – Similar\, but Not the Same
DESCRIPTION:Presentation Link: Click Here
X-ALT-DESC;FMTTYPE=text/html:Presentation Link:
Click Here
CATEGORIES:Education
UID:20170115T1343090Z-511394-1173@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180227T140000
DTEND;TZID=America/Sao_Paulo:20180227T150000
SUMMARY:JESD204B Link Debugging Guidelines
DESCRIPTION:Summary JESD204B provides fewer interconnects which simplifies
layout and allows smaller form factor realization without impacting overal
l system performance. This webcast begins with general JESD204B link debug
ging guidelines\, regardless of product. We then go deeper and provide mor
e details on specific products and platforms\, including platforms from An
alog Devices\, Xilinx\, and Altera. Who Should Attend: Engineers involve
d with designing high speed converter applications including: wireless inf
rastructure (GSM\, EDGE\, W-CDMA\, LTE\, CDMA2000\, WiMAX\, TD-SCDMA) tran
sceiver architectures\, software-defined radios\, portable instrumentation
\, medical ultrasound equipment\, Military and Aerospace. Duration: 45 Min
utes REGISTER
X-ALT-DESC;FMTTYPE=text/html: JESD204B provides fewer interconnects which sim
plifies layout and allows smaller form factor realization without impactin
g overall system performance. This webcast begins with general JESD204B li
nk debugging guidelines\, regardless of product. We then go deeper and pro
vide more details on specific products and platforms\, including platforms
from Analog Devices\, Xilinx\, and Altera. Who Should Att
end: \; \; Engineers involved with designing high
speed converter applications including: wireless infrastructure (GSM\, ED
GE\, W-CDMA\, LTE\, CDMA2000\, WiMAX\, TD-SCDMA) transceiver architectures
\, software-defined radios\, portable instrumentation\, medical ultrasound
equipment\, Military and Aerospace. Duration: 45
Minutes  \; REGISTER
CATEGORIES:Education
UID:20180220T1704060Z-511394-1694@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180308T150000
DTEND;TZID=America/Sao_Paulo:20180308T160000
SUMMARY:Latest Innovations in Camera and Sensor Interfaces - What Is In It
For Designers?
DESCRIPTION:Summary Machine vision applications require advanced imaging ca
pabilities to support a wide range of tasks in mobile devices\, as well as
automotive and industrial systems. For this reason\, designers need an in
terface that transmits high-resolution image data between the SoC and imag
e sensors with low latency and low power consumption. The MIPI CSI-2 speci
fication has emerged as the interface of choice for applications such as m
obile\, industrial\, consumer\, and automotive. Attend this webinar to lea
rn more about MIPI Alliance roadmap\, and the advantages of using MIPI CSI
-2 and I3C interfaces. What you will learn: MIPI Alliance roadmap for mobi
le\, automotive\, and beyond applications Evolution of the MIPI CSI-2 inte
rface in vision applications Technical advancements of MIPI CSI-2 and I3C
for the electronics industry Implementation and advantages of MIPI interfa
ces in real designs using IP REGISTER
X-ALT-DESC;FMTTYPE=text/html: Machine vision applications require advanced im
aging capabilities to support a wide range of tasks in mobile devices\, as
well as automotive and industrial systems. For this reason\, designers ne
ed an interface that transmits high-resolution image data between the SoC
and image sensors with low latency and low power consumption. The MIPI CSI
-2 specification has emerged as the interface of choice for applications s
uch as mobile\, industrial\, consumer\, and automotive. Attend thi
s webinar to learn more about MIPI Alliance roadmap\, and the advantages o
f using MIPI CSI-2 and I3C interfaces. What you will learn
: - MIPI Alliance roadma
p for mobile\, automotive\, and beyond applications
- Evolution of the MIPI CSI-2 interface in vision applicatio
ns
- Technical advancements of MIPI CS
I-2 and I3C for the electronics industry
- Implementation and advantages of MIPI interfaces in real designs usin
g IP
REGISTER
CATEGORIES:Education
UID:20180220T1706510Z-511394-1696@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180227T140000
DTEND;TZID=America/Sao_Paulo:20180227T150000
SUMMARY:User Interfaces and Industrial IoT – Hands-On - Day 2
DESCRIPTION:The Industrial Internet of Things (IIoT) will continue to provi
de its share of design challenges\, but one we often need to consider is w
hat user interfaces are needed. Depending on the application\, we may need
anything from a simple button / LED (the “hello world” of embedded progra
mming) to a full color touch screen. Or we may take advantage of the conne
ctivity of the Internet to provide all controls and readouts on a remotely
located screen. We will look at the various needs on some representative
IIoT applications and how we can provide the needed user interface(s). We
will make use of some examples for both simple character LCD and graphical
touch display\, including some hands-on exercises for both. Then we will
finish up by looking at some innovative ways of providing remote operation
of our devices. February 27 – Day 2 – Simple Interfaces that Aren’t So Si
mple Many off-the-shelf microcontrollers include human-machine interface (
HMI) circuitry to enable ‘simple’ inputs and outputs such as touchpads and
segmented alphanumeric displays. However\, implementing these features is
not always easy or even straightforward. In our second class\, we will lo
ok in detail at some of these features and how to overcome some of the com
mon obstacles that developers may face. We will also begin the process of
downloading and setting up the development environment for the hands-on po
rtion of the class.
X-ALT-DESC;FMTTYPE=text/html:The Industria
l Internet of Things (IIoT) will continue to provide its share of design c
hallenges\, but one we often need to consider is what user interfaces are
needed. Depending on the application\, we may need anything from a simple
button / LED (the &ldquo\;hello world&rdquo\; of embedded programming) to
a full color touch screen. Or we may take advantage of the connectivity of
the Internet to provide all controls and readouts on a remotely located s
creen. We will look at the various n
eeds on some representative IIoT applications and how we can provide the n
eeded user interface(s). We will make use of some examples for both simple
character LCD and graphical touch display\, including some hands-on exerc
ises for both. Then we will finish up by looking at some innovative ways o
f providing remote operation of our devices. - Many off-the-shelf microco
ntrollers include human-machine interface (HMI) circuitry to enable &lsquo
\;simple&rsquo\; inputs and outputs such as touchpads and segmented alphan
umeric displays. However\, implementing these features is not always easy
or even straightforward. In our second class\, we will look in detail at s
ome of these features and how to overcome some of the common obstacles tha
t developers may face. We will also begin the process of downloading and s
etting up the development environment for the hands-on portion of the clas
s. \;
 \;
CATEGORIES:Education
UID:20180220T1716420Z-511394-1700@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180306T140000
DTEND;TZID=America/Sao_Paulo:20180306T150000
SUMMARY:Streamlining Flexible System Design using RF ADCs with DSP
DESCRIPTION:Summary Highly integrated and versatile RF ADCs support a large
variety of system designs with their DSP feature sets. This webcast explo
res how these devices ease the system design complexities of today's radio
architectures. A typical customer's design cycle using an Analog Devices
ADC will be explored to show how each of the digital signal processing fea
tures are used to design system configurability into the design. Attendees
Will Learn: Features of the AD9208/AD9689 for simplified system design Ho
w digital down converters (DDC) and filters are used to implement the freq
uency/system plan Using numerically controlled oscillator (NCO) syncing an
d switching for efficient multi-band RF design Who Should Attend: System\,
hardware\, and software designers for the following markets: Wireless and
wired communications\, military and Aerospace (radar and communications)\
, Instrumentation\, healthcare\, Automotive Duration: 45 Minutes REGIST
ER
X-ALT-DESC;FMTTYPE=text/html: Highly integrated and versatile RF ADCs support
a large variety of system designs with their DSP feature sets. This webca
st explores how these devices ease the system design complexities of today
's radio architectures. A typical customer's design cycle using an Analog
Devices ADC will be explored to show how each of the digital signal proces
sing features are used to design system configurability into the design.
p> Attendees Will Learn: - Features of the AD9208/AD9689 for simplified system design<
/li>
- How digital down converters (DDC) an
d filters are used to implement the frequency/system plan
- Using numerically controlled oscillator (NCO) syncin
g and switching for efficient multi-band RF design
Who Should Attend: System\, hardware\, and software des
igners for the following markets: Wireless and wired communications\, mili
tary and Aerospace (radar and communications)\, Instrumentation\, healthca
re\, Automotive \; Duration: 45 Minutes
 \; REGISTER
CATEGORIES:Education
UID:20180220T1705480Z-511394-1695@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180315T170000
DTEND;TZID=America/Sao_Paulo:20180315T180000
SUMMARY:A Collaborative Approach to Addressing Production Challenges of Aut
onomous Vehicles
DESCRIPTION:Summary BlackBerry QNX and Renesas autonomy™ have collaborated
to build autonomous cars as a proving ground for new products and technolo
gies. The primary goal of this collaboration is to address production chal
lenges such as functional safety\, security\, and cost. This webinar will
examine these challenges and demonstrate how BlackBerry QNX and Renesas au
tonomy are working together to address them for the benefit of OEMs and Ti
er 1 suppliers. During this webinar\, you will learn: Techniques to deploy
redundant hardware and software to improve system safety Important securi
ty concepts for autonomous vehicles How to manage costs through scalable p
rocessing and pre-certified components REGISTER
X-ALT-DESC;FMTTYPE=text/html: BlackBerry QNX and Renesas autonomy&trade\; hav
e collaborated to build autonomous cars as a proving ground for new produc
ts and technologies. The primary goal of this collaboration is to address
production challenges such as functional safety\, security\, and cost. Thi
s webinar will examine these challenges and demonstrate how BlackBerry QNX
and Renesas autonomy are working together to address them for the benefit
of OEMs and Tier 1 suppliers. During this webinar\, you will lear
n: - Techniques to deploy redundant hardware and software to
improve system safety
- Important security concepts for autonomous
vehicles
- How to manage costs through scalable processing and pr
e-certified components
REGISTER
CATEGORIES:Education
UID:20180220T1708200Z-511394-1697@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180306T160000
DTEND;TZID=America/Sao_Paulo:20180306T170000
SUMMARY:Zhaga Interfaces for Interoperable Components in LED Luminaires – N
ew Opportunities for connected lighting
DESCRIPTION:On top of their primary lighting task\, LED luminaires are beco
ming increasingly smart and connected to IoT networks. Dee Denteneer\, Sec
retary General of the Zhaga consortium\, will guide through the latest Zha
ga specification which defines a standardized interface between outdoor LE
D luminaires and modules for sensing and communication. He will discuss be
nefits from bringing the Internet of Things to the outdoor lighting market
via smart\, upgradeable\, future-proof fixtures. Dee will also give a sne
ak preview of the upcoming exciting developments at Zhaga including connec
tivity for indoor lighting. What You'll Learn: Merging IoT and lightin
g technologies Enabling future-proof luminaires Interfaces between compone
nts of LED fixtures Smart city
X-ALT-DESC;FMTTYPE=text/html:On top of their primary lighting task\, LED luminaires
are becoming increasingly smart and connected to IoT networks. Dee Dentene
er\, Secretary General of the Zhaga consortium\, will guide through the la
test Zhaga specification which defines a standardized interface between ou
tdoor LED luminaires and modules for sensing and communication. He will di
scuss benefits from bringing the Internet of Things to the outdoor lightin
g market via smart\, upgradeable\, future-proof fixtures. Dee will also gi
ve a sneak preview of the upcoming exciting developments at Zhaga includin
g connectivity for indoor lighting. &
nbsp\; What You'll Learn:  \; - Merging IoT and lighting
technologies
- Enabling future-proof luminaires
- Interfaces between components of L
ED fixtures
- Smart city
 \;
CATEGORIES:Education
UID:20180220T1709490Z-511394-1698@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180226T140000
DTEND;TZID=America/Sao_Paulo:20180226T150000
SUMMARY:User Interfaces and Industrial IoT – Hands-On - Day 1
DESCRIPTION:The Industrial Internet of Things (IIoT) will continue to provi
de its share of design challenges\, but one we often need to consider is w
hat user interfaces are needed. Depending on the application\, we may need
anything from a simple button / LED (the “hello world” of embedded progra
mming) to a full color touch screen. Or we may take advantage of the conne
ctivity of the Internet to provide all controls and readouts on a remotely
located screen. We will look at the various needs on some representative
IIoT applications and how we can provide the needed user interface(s). We
will make use of some examples for both simple character LCD and graphical
touch display\, including some hands-on exercises for both. Then we will
finish up by looking at some innovative ways of providing remote operation
of our devices. February 26 – Day 1 – An Overview of IIoT Applications an
d Interface Needs The Industrial IoT (IIoT) has its own set of challenges
as it often involves mission-critical components. In many cases this inclu
des the device’s ability to be operated by a user or to locally display st
atus or data. In our lead-off class we will look at some of the more commo
n application areas of the IIoT and some of the common user interfaces we
may be tasked with developing.
X-ALT-DESC;FMTTYPE=text/html:The Industria
l Internet of Things (IIoT) will continue to provide its share of design c
hallenges\, but one we often need to consider is what user interfaces are
needed. Depending on the application\, we may need anything from a simple
button / LED (the &ldquo\;hello world&rdquo\; of embedded programming) to
a full color touch screen. Or we may take advantage of the connectivity of
the Internet to provide all controls and readouts on a remotely located s
creen. We will look at the various n
eeds on some representative IIoT applications and how we can provide the n
eeded user interface(s). We will make use of some examples for both simple
character LCD and graphical touch display\, including some hands-on exerc
ises for both. Then we will finish up by looking at some innovative ways o
f providing remote operation of our devices. - The Indu
strial IoT (IIoT) has its own set of challenges as it often involves missi
on-critical components. In many cases this includes the device&rsquo\;s ab
ility to be operated by a user or to locally display status or data. In ou
r lead-off class we will look at some of the more common application areas
of the IIoT and some of the common user interfaces we may be tasked with
developing. \;
 \;
CATEGORIES:Education
UID:20180220T1715320Z-511394-1699@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180228T140000
DTEND;TZID=America/Sao_Paulo:20180228T150000
SUMMARY:User Interfaces and Industrial IoT – Hands-On - Day 3
DESCRIPTION:The Industrial Internet of Things (IIoT) will continue to provi
de its share of design challenges\, but one we often need to consider is w
hat user interfaces are needed. Depending on the application\, we may need
anything from a simple button / LED (the “hello world” of embedded progra
mming) to a full color touch screen. Or we may take advantage of the conne
ctivity of the Internet to provide all controls and readouts on a remotely
located screen. We will look at the various needs on some representative
IIoT applications and how we can provide the needed user interface(s). We
will make use of some examples for both simple character LCD and graphical
touch display\, including some hands-on exercises for both. Then we will
finish up by looking at some innovative ways of providing remote operation
of our devices. February 28 – Day 3 – Beginning Graphics Interface\, Hand
s-on (Part 1) The complexities of some IIoT applications require sophistic
ated user interfaces beyond the simple inputs and outputs that we covered
so far. Integrated touch-screen graphical LCDs now allow us to effectively
build a tablet or mobile handset into our devices\, but developing these
can be daunting without acquiring and mastering the right tools. In our t
hird class\, we will look at an application that will use a self-contained
demonstration board\, the NXP OM13092\, that includes a touch-screen LCD\
, as well as a number of useful ports and I/O. We will make use of the fre
e MCUXPresso development tool\, as well as a demonstration license of the
Draupner Graphics TouchGFX design tool. We will set up the design environm
ent and look at how the tool can first simulate then assist us in programm
ing the target board.
X-ALT-DESC;FMTTYPE=text/html:The Industria
l Internet of Things (IIoT) will continue to provide its share of design c
hallenges\, but one we often need to consider is what user interfaces are
needed. Depending on the application\, we may need anything from a simple
button / LED (the &ldquo\;hello world&rdquo\; of embedded programming) to
a full color touch screen. Or we may take advantage of the connectivity of
the Internet to provide all controls and readouts on a remotely located s
creen. We will look at the various n
eeds on some representative IIoT applications and how we can provide the n
eeded user interface(s). We will make use of some examples for both simple
character LCD and graphical touch display\, including some hands-on exerc
ises for both. Then we will finish up by looking at some innovative ways o
f providing remote operation of our devices. - The complexiti
es of some IIoT applications require sophisticated user interfaces beyond
the simple inputs and outputs that we covered so far. Integrated touch-scr
een graphical LCDs now allow us to effectively build a tablet or mobile ha
ndset into our devices\, but developing these can be daunting \; witho
ut acquiring and mastering the right tools. In our third class\, we will l
ook at an application that will use a self-contained demonstration board\,
the NXP OM13092\, that includes a touch-screen LCD\, as well as a number
of useful ports and I/O. We will make use of the free MCUXPresso developme
nt tool\, as well as a demonstration license of the Draupner Graphics Touc
hGFX design tool. We will set up the design environment and look at how th
e tool can first simulate then assist us in programming the target board.&
nbsp\;
 \;
CATEGORIES:Education
UID:20180220T1718000Z-511394-1701@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180302T140000
DTEND;TZID=America/Sao_Paulo:20180302T150000
SUMMARY:User Interfaces and Industrial IoT – Hands-On - Day 5
DESCRIPTION:The Industrial Internet of Things (IIoT) will continue to provi
de its share of design challenges\, but one we often need to consider is w
hat user interfaces are needed. Depending on the application\, we may need
anything from a simple button / LED (the “hello world” of embedded progra
mming) to a full color touch screen. Or we may take advantage of the conne
ctivity of the Internet to provide all controls and readouts on a remotely
located screen. We will look at the various needs on some representative
IIoT applications and how we can provide the needed user interface(s). We
will make use of some examples for both simple character LCD and graphical
touch display\, including some hands-on exercises for both. Then we will
finish up by looking at some innovative ways of providing remote operation
of our devices. March 2 – Day 5 – Advanced Human Interface design for the
IIoT In our last class\, we will look beyond the traditional built-in use
r interface to other methods of controlling and monitoring our devices in
the IIoT. We will look at methods of providing remote consoles through web
page interfaces and even a custom mobile/tablet app.
X-ALT-DESC;FMTTYPE=text/html:The Industria
l Internet of Things (IIoT) will continue to provide its share of design c
hallenges\, but one we often need to consider is what user interfaces are
needed. Depending on the application\, we may need anything from a simple
button / LED (the &ldquo\;hello world&rdquo\; of embedded programming) to
a full color touch screen. Or we may take advantage of the connectivity of
the Internet to provide all controls and readouts on a remotely located s
creen. We will look at the various n
eeds on some representative IIoT applications and how we can provide the n
eeded user interface(s). We will make use of some examples for both simple
character LCD and graphical touch display\, including some hands-on exerc
ises for both. Then we will finish up by looking at some innovative ways o
f providing remote operation of our devices. -
In our last class\, we will look beyond the traditional built-in
user interface to other methods of controlling and monitoring our devices
in the IIoT. We will look at methods of providing remote consoles through
web page interfaces and even a custom mobile/tablet app. <
/div>  \;
CATEGORIES:Education
UID:20180220T1720000Z-511394-1703@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180222T130000
DTEND;TZID=America/Sao_Paulo:20180222T140000
SUMMARY:LEARN HOW TO DEVELOP NFC APPLICATIONS FOR CONSUMABLES AND ACCESSORI
ES
DESCRIPTION:NFC FOR CONSUMABLES AND ACCESSORIES NFC is a great way to ident
ify and authenticate consumables & accessories in industrial and consumer
products. Using NFC helps to ensure that only genuine parts are being used
and allows adjustments of the device settings according to part manufactu
rer\, type and end user preferences. Join us in this session to learn how
you can develop your own NFC product authentication solution with NXP prod
ucts using the NFC Nutshell Kit. . . . 10 AM CET & 8 AM PST | Register
»
X-ALT-DESC;FMTTYPE=text/html: NFC is a great way to identify and authenticate consumables &\; a
ccessories in industrial and consumer products. Using NFC helps to ensure
that only genuine parts are being used and allows adjustments of the devic
e settings according to part manufacturer\, type and end user preferences.
Join us in this session to learn h
ow you can develop your own NFC product authentication solution with NXP p
roducts using the NFC Nutshell Kit.  \;
CATEGORIES:Education
UID:20180220T1723330Z-511394-1704@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180320T160000
DTEND;TZID=America/Sao_Paulo:20180320T170000
SUMMARY:Avionics Safety Certification Challenges with UAVs
DESCRIPTION: Unmanned aircraft\, drones\, remotely-piloted aircraft\, or
whatever one chooses to call them are increasing in number and often stay
ing ahead of the regulatory bodies tasked with setting their safety standa
rds. Compliance with FAA safety certification standards such as DO-178 B a
nd C for software and DO-254 for hardware is required even for military ai
rcraft. This e-cast of industry experts will cover the challenges with cer
tifying these platforms for flight in civilian airspace and solutions for
solving those challenges. Sponsors: dSPACE\, LDRA Moderator: John McHale\
, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;  \; | | Unmanned aircr
aft\, drones\, remotely-piloted aircraft\, or whatever one chooses to call
them are increasing in number and often staying ahead of the regulatory b
odies tasked with setting their safety standards. Compliance with FAA safe
ty certification standards such as DO-178 B and C for software and DO-254
for hardware is required even for military aircraft. This e-cast o
f industry experts will cover the challenges with certifying these platfor
ms for flight in civilian airspace and solutions for solving those challen
ges. | Sponsors: dSPACE\, LDRA Moderator: \; John McHale\
, OpenSystems Media | | <
/table>  \;
CATEGORIES:Education
UID:20180220T1728470Z-511394-1706@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180301T150000
DTEND;TZID=America/Sao_Paulo:20180301T160000
SUMMARY:Best Practices: How to Avoid Choosing the Wrong Power/Energy Sensor
DESCRIPTION:Sensors are critical for accurate laser measurement\, yet are o
ften selected based on the wrong criteria. Choosing solely on the measurab
le power range or aperture size is typical but insufficient. In this Laser
Focus World webinar\, Ophir's Dick Rieley focuses on key factors in the s
election process\, including beam diameter\, beam density values\, cooling
requirements\, and exposure duration. What You'll Learn: Best practic
es for choosing the right laser power/energy sensor How to choose among th
e three main types of sensors: photodiodes\, thermal-based\, and thermopil
es How to evaluate laser sensors based on such features as type of laser\,
laser wavelength\, and beam diameter Who Should Attend: Design engineer
ing\, manufacturing/production engineering\, measurement engineering/QC\,
technical services\, applied R&D. Industries: aviation/aero\, imaging/ vis
ion\, industrial control\, medical/biomed\, optical components\, lasers\,
manufacturing/material processing\, auto. Applications: semiconductor\, so
lar\, materials processing\, test\, robotics\, medical\, military/defense\
, materials research\, process control\, aerospace.
X-ALT-DESC;FMTTYPE=text/html:Sensors are critical for accurate laser measurement\, y
et are often selected based on the wrong criteria. Choosing solely on the
measurable power range or aperture size is typical but insufficient. In th
is Laser Focus World webinar\, Ophir's Dick Rieley focuses on key factors
in the selection process\, including beam diameter\, beam density values\,
cooling requirements\, and exposure duration.  \; What You'll Learn:  \;
- Best practices
for choosing the right laser power/energy sensor
- How to choose among the thr
ee main types of sensors: photodiodes\, thermal-based\, and thermopiles
- How t
o evaluate laser sensors based on such features as type of laser\, laser w
avelength\, and beam diameter
 \; Who Should Attend:
span> - Design engineering\, manufacturing/pr
oduction engineering\, measurement engineering/QC\, technical services\, a
pplied R&\;D.
- Industries: aviation/aero\, imaging/ vision\, industrial con
trol\, medical/biomed\, optical components\, lasers\, manufacturing/materi
al processing\, auto.
- Applications: semiconductor\, solar\, materials process
ing\, test\, robotics\, medical\, military/defense\, materials research\,
process control\, aerospace.
 \; <
a href='http://ea.ecn5.com/Clicks/YWd2ejlENjdham1tcnFidUxzYW9iaXBreFhVdU0v
ZVhPeUdRZVNOc2g1OEgrWm9CejlxaDdpcE4yMVFFelllRXMyTnVwU3dyVUYra25XbDdoRXdqQ3
c9PQ%3d%3d'><
/span>
CATEGORIES:Education
UID:20180220T1727100Z-511394-1705@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180228T130000
DTEND;TZID=America/Sao_Paulo:20180228T140000
SUMMARY:Identifying and meeting the challenges in packaging inspection
DESCRIPTION:Manufacturers of packaged goods\, including consumer and medica
l and pharmaceutical goods\, must meet the increasingly varied packaging n
eeds of their customers. In a free webcast on February 28\, Bhaskar Ramakr
ishnan from DWFritz Automation will discuss the challenges inherent in pac
kaging inspection\, and how to avoid and prevent them. What You'll Learn:
• The challenges that packaging inspection applications present and how to
address them • What a typical packaging application may look like in food
\, medical\, and pharma • The enabling technologies in packaging inspectio
n • How vision can improve packaging production processes and help with co
st Who Should Attend: • Integrators and end users involved in packaging
inspection • Those looking to learn more about packaging inspection • Thos
e looking to incorporate vision into their packaging production processes
• Manufacturers of packaged medical supplies (Class 3 Medical devices) suc
h as surgical implants and devices REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html:Manufacturers of packaged goods\, including
consumer and medical and pharmaceutical goods\, must meet the increasingl
y varied packaging needs of their customers. In a free webcast on Fe
bruary 28\, Bhaskar Ramakrishnan from DWFritz Automation will discuss the
challenges inherent in packaging inspection\, and how to avoid and prevent
them. What You'll Learn: &bull\; | <
td style='padding-bottom: 3px\;' valign='top' width='368'>The challenges t
hat packaging inspection applications present and how to address them
&bull\; | What a typical packaging application may look like in f
ood\, medical\, and pharma | &bull\; | The enabling technologie
s in packaging inspection | &bull\; |
How vision can improve packaging production processes a
nd help with cost |  \; Who Should Attend: &bull\; | Integrators and end users involved
in packaging inspection | &bull\; |
Those looking to learn more
about packaging inspection | &bull\; | Those looking to incorp
orate vision into their packaging production processes |
&bull\; | Manufacturers of packaged medical supplies (Class 3 Medical devices) s
uch as surgical implants and devices |  \; |
REGISTER HE
RE! | |  \;
CATEGORIES:Education
UID:20180220T1731490Z-511394-1707@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180320T160000
DTEND;TZID=America/Sao_Paulo:20180320T170000
SUMMARY:Ensuring COTS Semiconductor Reliability with Tin-Lead Robotic Hot S
older Dip
DESCRIPTION:The trend towards sourcing of commercial off the shelf (COTS) c
omponents\, combined with the European Union's Restriction of Hazardous Su
bstances (RoHS) directive\, has resulted in the dominance of pure tin and
lead-free solder alloys on semiconductor and other microelectronics termin
ations. This has prompted an emerging awareness within high-reliability\,
mission critical industries of failure modes affecting these components —
electrical shorts due to formation of conductive 'tin whiskers' and solder
joint fractures related to degraded metallurgical properties. This Webina
r will focus on the ability of Robotic Hot Solder Dip (RHSD)\, conducted u
nder the tightly-controlled tolerances outlined in industry standard GEIA-
STD-0006. Corfin's RHSD process is administered to exacting tolerances tha
t exceed the standard while retaining repeatability in a high-volume manuf
acturing environment. For more information and to register\, click here
X-ALT-DESC;FMTTYPE=text/html:The trend towards sourcing of commercial of
f the shelf (COTS) components\, combined with the European Union's Restric
tion of Hazardous Substances (RoHS) directive\, has resulted in the domina
nce of pure tin and lead-free solder alloys on semiconductor and other mic
roelectronics terminations. This has prompted an emerging awareness within
high-reliability\, mission critical industries of failure modes affecting
these components &mdash\; electrical shorts due to formation of conductiv
e 'tin whiskers' and solder joint fractures related to degraded metallurgi
cal properties.
This Webinar will focus on the ability of Robot
ic Hot Solder Dip (RHSD)\, conducted under the tightly-controlled toleranc
es outlined in industry standard GEIA-STD-0006. Corfin's RHSD process is a
dministered to exacting tolerances that exceed the standard while retainin
g repeatability in a high-volume manufacturing environment. For mo
re information and to register\, click here
CATEGORIES:Education
UID:20180220T1733100Z-511394-1708@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180308T150000
DTEND;TZID=America/Sao_Paulo:20180308T160000
SUMMARY:Energy-Efficient Adaptive Cruise Control for Electric Connected and
Autonomous Vehicles
DESCRIPTION:Background This presentation proposes an energy-efficient adapt
ive cruise control\, called Energy-Efficient Electric Driving Model (E3DM)
\, for electric\, connected\, and autonomous vehicles (e-CAVs) in a traffi
c stream with mixed autonomous and human-driven vehicles. E3DM is able to
maintain high energy efficiency of regenerative braking by adjusting the s
pacing between the leading and the following vehicles. Moreover\, a power-
based energy consumption model is proposed to estimate the on-road energy
consumption for battery electric vehicles\, considering the impact of ambi
ent temperature on auxiliary load. ... Learning Objectives Upon
completion of this webinar\, the audience will understand: What is ener
gy-efficient adaptive cruise control for CAVs \; The energy consumption ch
aracteristics of battery electric vehicles How e-CAVs affect energy effi
ciency of a platoon
X-ALT-DESC;FMTTYPE=text/html:Background This presentation propos
es an energy-efficient adaptive cruise control\, called Energy-Efficient E
lectric Driving Model (E3DM)\, for electric\, connected\, and autonomous v
ehicles (e-CAVs) in a traffic stream with mixed autonomous and human-drive
n vehicles. E3DM is able to maintain high energy efficiency of regenerativ
e braking by adjusting the spacing between the leading and the following v
ehicles. Moreover\, a power-based energy consumption model is proposed to
estimate the on-road energy consumption for battery electric vehicles\, co
nsidering the impact of ambient temperature on auxiliary load. \; 
\; ...  \; \; \; \;&nb
sp\; \; Learning
Objectives  \; Upon completion o
f this webinar\, the audience will understand:  \; - What \;is energy-efficient adaptive cruise control for CAVs \;
- The energy consumption characteristics
of battery electric vehicles \;
 \;
- How e-CAVs affect energy efficiency of a platoon \; \
;
CATEGORIES:Education
UID:20180220T1737170Z-511394-1709@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180221T150000
DTEND;TZID=America/Sao_Paulo:20180221T160000
SUMMARY:Bottlenecks Be Gone—Automated Performance Verification with Synopsy
s VC VIP and Verdi
DESCRIPTION:Performance is a critical source of competitive advantage for m
odern SoCs\, and performance targets need to be verified on top of functio
nality. SoCs can be configured in a multitude of ways with different IP an
d interconnect topologies\, number of masters and slaves\, bus widths\, pa
cket sizes\, clock speeds\, etc.\, and performance verification can quickl
y get overwhelming. Further\, given SoC performance verification is often
done towards the end of the project cycle\, there is a pressing need for p
ush-button performance verification\, analysis and debug. In this Synopsys
webinar\, we will outline an automated flow to perform end-to-end perform
ance verification using Synopsys VC VIP AutoPerformance\, Verdi Performanc
e Analyzer and Verdi Protocol Analyzer. We will also include a demo of thi
s flow using a real-world design and Synopsys VIP for Arm® AMBA® protocol.
Specifically\, you will learn: How to quickly create a test profile for V
C VIP AutoPerformance to auto-generate stimulus for performance testing Ho
w to easily preset thresholds for key metrics such as latency\, bandwidth
etc. to auto-detect performance bottlenecks How to analyze and seamlessly
debug performance issues right down to the violating transaction REGISTER
X-ALT-DESC;FMTTYPE=text/html:Performance is a critical sour
ce of competitive advantage for modern SoCs\, and performance targets need
to be verified on top of functionality. SoCs can be configured in a multi
tude of ways with different IP and interconnect topologies\, number of mas
ters and slaves\, bus widths\, packet sizes\, clock speeds\, etc.\, and pe
rformance verification can quickly get overwhelming. Further\, given SoC p
erformance verification is often done towards the end of the project cycle
\, there is a pressing need for push-button performance verification\, ana
lysis and debug.
In this Synopsys webinar\, we will outline an
automated flow to perform end-to-end performance verification using Synops
ys VC VIP AutoPerformance\, Verdi Performance Analyzer and Verdi Protocol
Analyzer. We will also include a demo of this flow using a real-world desi
gn and Synopsys VIP for Arm®\; AMBA®\; protocol.
Specific
ally\, you will learn:
- How to quickly create a test
profile for VC VIP AutoPerformance to auto-generate stimulus for performan
ce testing
- How to easil
y preset thresholds for key metrics such as latency\, bandwidth etc. to au
to-detect performance bottlenecks
- How
to analyze and seamlessly debug performance issues right down to the viol
ating transaction
REGISTER
span>
CATEGORIES:Education
UID:20180220T1742120Z-511394-1711@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180814T130000
DTEND;TZID=America/Sao_Paulo:20180814T140000
SUMMARY:The Path to a Personalized\, On-device Virtual Assistant
DESCRIPTION:Artificial intelligence (AI) — specifically\, deep learning — i
s revolutionizing industries\, products\, and core capabilities by deliver
ing dramatically enhanced experiences. However\, the deep neural networks
of today are growing quickly in size and use too much memory\, compute\, a
nd energy. Plus\, to make AI truly ubiquitous\, it needs to run on the end
device within a tight power and thermal budget. One approach to address t
hese issues is Bayesian deep learning. Attendees will learn about: Why A
I algorithms and hardware need to be energy-efficient How Bayesian deep le
arning is making neural networks more power-efficient through model compre
ssion and quantization How we are doing fundamental research on AI algorit
hms and hardware to maximize power efficiency Register Now
X-ALT-DESC;FMTTYPE=text/html:Artificial intelligence (A
I) \;&mdash\; specifically\, deep learning &mdash\; is revolutionizing
industries\, products\, and core capabilities by \;delivering \;d
ramatically \;enhanced experiences. \;However\, the deep \;neu
ral networks \;of today are \;growing quickly \;in \;size&
nbsp\;and \;use too much memory\, \;compute\, and \;energy. Pl
us\, to make AI truly ubiquitous\, it \;needs to run \;on the 
\;end device \;within a \;tight power \;and \;thermal budg
et. One approach to address these issues is \;Bay
esian deep learning.  \;
- Why AI algorithms and hardware need to be energy-efficient
- H
ow Bayesian deep learning is making neural networks more power-efficient t
hrough model compression and quantization
- How we are doing funda
mental research on AI algorithms and hardware to maximize power efficiency
Register Now
CATEGORIES:Education
UID:20180814T1256270Z-511394-1847@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180815T150000
DTEND;TZID=America/Sao_Paulo:20180815T160000
SUMMARY:Using Disruptive 3D Technology to Complement Traditional Manufactur
ing Processes
DESCRIPTION:HP Jet Fusion 3D Printing’s revolutionary process has opened ne
w possibilities in design and manufacturing\, garnering attention for its
speed\, quality and cost-effectiveness. In this webinar\, our expert will
present an in-depth look into the disruptive technology that can complemen
t conventional manufacturing technologies. Actual use cases will illustrat
e where this technology has helped enable design freedom\, shorten lead ti
mes and resulted in cost savings and an increase in both productivity and
part precision. LEARN MORE
X-ALT-DESC;FMTTYPE=text/html:HP Jet Fusion 3D Printing&rsquo\;s revoluti
onary process has opened new possibilities in design and manufacturing\, g
arnering attention for its speed\, quality and cost-effectiveness. In this
webinar\, our expert will present an in-depth look into the disruptive te
chnology that can complement conventional manufacturing technologies. Actu
al use cases will illustrate where this technology has helped enable desig
n freedom\, shorten lead times and resulted in cost savings and an increas
e in both productivity and part precision.
CATEGORIES:Education
UID:20180814T1258150Z-511394-1848@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180822T150000
DTEND;TZID=America/Sao_Paulo:20180822T160000
SUMMARY:Implementing Prevention through Design (PtD) on the Factory Floor
DESCRIPTION:The industrial workplace is constantly evolving\, with new inno
vation and automation being integrated into the plant environment\, posing
new challenges for employees. Electrical safety management\, as well as i
ts practice in the workplace\, is being impacted every day by these new in
novations. A contributing factor to this is the incorporation of safety fe
atures and technology into the electrical infrastructure of facilities\, w
hich is leading manufacturers to deploy Prevention through Design (PtD) pr
inciples into their control and power distribution equipment. While contin
uously improving the approach to electrical safety is essential for design
engineers\, it is just as critical for plant personnel. Today\, the curre
nt definition of electrical safety 'technology' largely varies in the indu
stry\, straddling traditional and new methods for evaluating electrical sa
fety. In a recent CFE Media research report\, 68% of respondents cited per
sonal protective equipment (PPE) as their leading preference for electrica
l safety\, followed by lockout/Tagout (LOTO) identified by 61% of responde
nts. Job safety analysis ranked third at 55%\, with embedded safety contro
ls named as a preference by just 36% of respondents. These statistics repr
esent that adopting new technology and better approaches to electrical saf
ety is challenging but critical for improving safety in the workplace. Thi
s webcast will follow an example of new safety technology – Absence of Vol
tage Tester (AVT) – employing PtD principles and focus on its various meth
ods of deployment in an electrical enclosure. Attendees will learn how usi
ng AVTs will leverage the hierarchy of controls methodology by mitigating
potential hazards present when verifying the absence of voltage. Attendees
will observe that deploying AVTs is a safer method of maintaining and ser
vicing several different electrical enclosure environments\, allowing the
plant manager or safety manager to effectively implement PtD from the draw
ing board to the plant floor. Learning objectives: Understand how electric
al safety technology pursues a direction that influences design so that it
minimizes workplace hazards (PtD). Gain insight into how AVTs are an exam
ple of implementing engineering controls as related to the hierarchy of co
ntrols methodology. Outline examples of AVT deployments which contribute t
o efforts of continuous improvement of workplace safety programs and exami
ne the several methods that can be used to deploy AVTs in an electrical en
closure. Learn how a facility saw the need for new safety technology in th
eir environment and share best practices from their deployment experience.
Featured presenters: Zachary Ganster\, Product Manager for Prevention thr
ough Design (PtD)\, Panduit Martin Kronz\, Business Development Director\,
OEM Infrastructure\, Panduit Moderator: Bob Vavra\, Content Manager\, Pla
nt Engineering\, CFE Media CLICK HERE TO REGISTER TODAY!
X-ALT-DESC;FMTTYPE=text/html:The industrial workplace is constantly evol
ving\, with new innovation and automation being integrated into the plant
environment\, posing new challenges for employees. Electrical safety manag
ement\, as well as its practice in the workplace\, is being impacted every
day by these new innovations. A contributing factor to this is the incorp
oration of safety features and technology into the electrical infrastructu
re of facilities\, which is leading manufacturers to deploy Prevention thr
ough Design (PtD) principles into their control and power distribution equ
ipment.
While continuously improving the approach to electrical
safety is essential for design engineers\, it is just as critical for pla
nt personnel. Today\, the current definition of electrical safety 'technol
ogy' largely varies in the industry\, straddling traditional and new metho
ds for evaluating electrical safety. In a recent CFE Media research report
\, 68% of respondents cited personal protective equipment (PPE) as their l
eading preference for electrical safety\, followed by lockout/Tagout (LOTO
) identified by 61% of respondents. Job safety analysis ranked third at 55
%\, with embedded safety controls named as a preference by just 36% of res
pondents. These statistics represent that adopting new technology and bett
er approaches to electrical safety is challenging but critical for improvi
ng safety in the workplace.
This webcast will follow an example
of new safety technology &ndash\; Absence of Voltage Tester (AVT) &ndash\
; employing PtD principles and focus on its various methods of deployment
in an electrical enclosure. Attendees will learn how using AVTs will lever
age the hierarchy of controls methodology by mitigating potential hazards
present when verifying the absence of voltage. Attendees will observe that
deploying AVTs is a safer method of maintaining and servicing several dif
ferent electrical enclosure environments\, allowing the plant manager or s
afety manager to effectively implement PtD from the drawing board to the p
lant floor.
Learning objectives: Understand how electrical safety technology pursues a direction that inf
luences design so that it minimizes workplace hazards (PtD). - Gai
n insight into how AVTs are an example of implementing engineering control
s as related to the hierarchy of controls methodology.
- Outline e
xamples of AVT deployments which contribute to efforts of continuous impro
vement of workplace safety programs and examine the several methods that c
an be used to deploy AVTs in an electrical enclosure.
- Learn how
a facility saw the need for new safety technology in their environment and
share best practices from their deployment experience.
Featured presenters: - Zachary Ganster\, Produ
ct Manager for Prevention through Design (PtD)\, Panduit
- Martin
Kronz\, Business Development Director\, OEM Infrastructure\, Panduit
Moderator: Bob Vavra\, Content Manager\, P
lant Engineering\, CFE Media
CLICK HERE TO REGISTER TODAY!
CATEGORIES:Education
UID:20180814T1244470Z-511394-1845@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180906T120000
DTEND;TZID=America/Sao_Paulo:20180906T130000
SUMMARY:Achieve functional safety with General Motors and LDRA
DESCRIPTION:In today's automotive market\, there is no question that Functi
onal Safety and Security are tightly coupled concerns and that automotive
software suppliers must adhere to development standards such as ISO 26262\
, SAE J3061\, MISRA\, Autosar\, and internal standards such as GMW-CG2999
to adequately address these concerns. How to do so in a cost-effective m
anner\, is the bigger picture challenge for all GM suppliers. LDRA has wor
ked with GM and its suppliers to support GM processes and enable automatio
n technology to cost-effectively address functional safety and security go
als. In this webinar we will look at how GM is working with its suppliers
to produce the industry's highest quality software and more specifically h
ow GM suppliers leverage the LDRA tool suite to cost-effectively address t
he requirements of functional safety and security for GM. Automotive IQ co
llaborate with experts at LDRA and GM for this webinar which is to take pl
ace on September 6th at 11:00 AM EST\, and will give you a technical insig
ht into achieving functional safety and security. Achieve functional saf
ety and security cost-effectively Learn from LDRA and General Motors Get i
nsight into the production of the industry's highest quality software
X-ALT-DESC;FMTTYPE=text/html:In today's a
utomotive market\, there is no question that Functional Safety and
Security are tightly coupled concerns and that automotive softwa
re suppliers must adhere to development standards such as ISO 2626
2\, SAE J3061\, MISRA\, Autosar\, and internal standards such as GMW-CG2999 to adequatel
y address these concerns.  \; How to do so in a cost-effective manner\, is the bigger picture challeng
e for all GM suppliers. LDRA has worked with GM and its suppliers to suppo
rt GM processes and enable automation technology to cost-effectively addre
ss functional safety and security goals. In this webinar we will look at h
ow GM is working with its suppliers to produce the industry's highest qual
ity software and more specifically how GM suppliers leverage the LDRA tool
suite to cost-effectively address the requirements of functional safety a
nd security for GM. Auto
motive IQ collaborate with experts at LDRA and GM for th
is webinar \;which
is to take place on September 6th at 11:00 AM EST\, and w
ill give you a technical insight into achieving functional safety and secu
rity.
&nb
sp\; - Achieve functional safety and security cost-effectively<
/li>
- Learn from LDRA and General Moto
rs
- Get insight into the production of the industry's high
est quality software
CATEGORIES:Education
UID:20180814T1253480Z-511394-1846@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180920T150000
DTEND;TZID=America/Sao_Paulo:20180920T160000
SUMMARY:How to Reduce Injection Molding Costs
DESCRIPTION:When ordering molded parts\, you typically want the lowest piec
e-part possible. The simple solution is to order more parts. But what if y
our molding project only calls for a handful of parts? In today’s competit
ive market\, optimizing design and leveraging molding options are key to r
educing overall molding expenses. In our presentation we will address a va
riety of ways to stretch your manufacturing dollar. LEARN MORE
X-ALT-DESC;FMTTYPE=text/html:When ordering molded parts\, you typically
want the lowest piece-part possible. The simple solution is to order more
parts. But what if your molding project only calls for a handful of parts?
In today&rsquo\;s competitive market\, optimizing design and leveraging m
olding options are key to reducing overall molding expenses. In our presen
tation we will address a variety of ways to stretch your manufacturing dol
lar.
CATEGORIES:Education
UID:20180814T1300230Z-511394-1849@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180816T150000
DTEND;TZID=America/Sao_Paulo:20180816T160000
SUMMARY:Regulatory Landscape Changes Demand Digitalization in Medtech
DESCRIPTION:Two big changes in the regulatory landscape are challenging Med
tech companies: Overhauled EU regulations: MDR & IVDR New 2018-2020 Strate
gic Priorities from the FDA in the US Implementing and maintaining regulat
ory compliant processes and systems is a constant for Medtech firms\; howe
ver\, there’s a tremendous variation in chosen processes\, procedures\, to
ols and technology. With the changing regulatory landscape in view\, there
’s a clear increase in demand and payback for use of digitalization (i.e.\
, software tools and technology) to respond to new regulatory requirements
and initiatives. As a Member of the Machine Design community\, we'd like
to invite you to join us next week for a webinar on August 16th at 2pm ET\
, which will: Highlight key regulatory changes. Describe specific ways Med
tech firms can respond using digitalization to remain compliant and help b
alance business goals. Cover how to ensure safe\, effective devices and pr
ofitability. REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html:
Two big changes in the regulatory landscape are challenging Medtech co
mpanies: - Overhauled EU regulations: MDR &\; IVDR
<
li>New 2018-2020 Strategic Priorities from the FDA in the US
Implementing and maintaining regulatory compliant processes and systems
is a constant for Medtech firms\; however\, there&rsquo\;s a tremendous v
ariation in chosen processes\, procedures\, tools and technology. With the
changing regulatory landscape in view\, there&rsquo\;s a clear increase i
n demand and payback for use of digitalization (i.e.\, software tools and
technology) to respond to new regulatory requirements and initiatives. As a Member of the Machine Design community\, we'd like to invit
e you to join us next week for a webinar on August 16th at 2pm ET\, which will:
- Highlight key regulatory changes.
- Describe specific ways Med
tech firms can respond using digitalization to remain compliant and help b
alance business goals.
- Cover how to ensure safe\, effective devi
ces and profitability.
| |  \; | | <
/tbody> |
CATEGORIES:Education
UID:20180814T1302160Z-511394-1850@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180913T120000
DTEND;TZID=America/Sao_Paulo:20180913T130000
SUMMARY:Learn how RAIN RFID can improve your production and PCB life proces
s
DESCRIPTION: Continuous improvement of production processes and t
he optimization of quality are indispensable for companies looking to thri
ve in the global marketplace. The PCB industry is faced with multi-layered
challenges in these areas: production time production costs product quali
ty traceability of products All of these are subject to continuous improve
ment – regardless of the customer – in order to meet increasing market dem
ands. RAIN RFID products offer an innovative solution for numerous challen
ges. Attend this joint webinar by NXP\, Murata\, Nokia and Harting to lear
n how RAIN RFID can improve your production and PCB life cycle process and
discover how Nokia has already put this technology to use on an industria
l scale. . Featured Speakers: Mahdi Mekic\, Marketing Director – RAIN RFID
\, NXP Semiconductors Olaf Wilmsmeier\, Business Development Manager RFID\
, Harting Technology Group Alexander Schmoldt\, Business Innovation & Incu
bation Manager\, Murata Electronics Claus Heller\, Senior Quality Manager\
, Nokia Siemens Networks Register Now »
X-ALT-DESC;FMTTYPE=text/html: \;  \;  \;  \; &n
bsp\;  \;Continuous improvement of production processes and the optimi
zation of quality are indispensable for companies looking to thrive in the
global marketplace. The PCB industry is faced with multi-layered challeng
es in these areas: - production time
- production costs
- product quality
- traceab
ility of products
All of these are subject to con
tinuous improvement &ndash\; regardless of the customer &ndash\; in order
to meet increasing market demands. RAIN RFID products off
er an innovative solution for numerous challenges. Attend this joint webin
ar by NXP\, Murata\, Nokia and Harting to learn how RAIN RFID can improve
your production and PCB life cycle process and discover how Nokia has alre
ady put this technology to use on an industrial scale. . Mahdi Mekic
\, Marketing Director &ndash\; RAIN RFID\, NXP Semicondu
ctors Olaf Wilmsmeier\,
Business Development Manager RFID\, Harting Technology Group
Alexander Schmoldt\, Bus
iness Innovation &\; Incubation Manager\, Murata Electronics
Claus Heller\, Senior Qua
lity Manager\, Nokia Siemens Networks \;  \;  \;  \;
 \;  \;  \; Register Now \;»
\;
CATEGORIES:Education
UID:20180814T1304270Z-511394-1851@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180912T120000
DTEND;TZID=America/Sao_Paulo:20180912T130000
SUMMARY:How to Advance Medical Imaging with Data-Centric Connectivity
DESCRIPTION: Today’s imaging systems are saving lives by making the un
seen visible to a degree never before possible. Medical professionals can
now view\, in high resolution\, the systems within the human body so they
can diagnose pathological changes and precisely target therapeutic procedu
res. These imaging technologies will play a key role in the future of medi
cal diagnostics and therapeutics. However\, medical professionals are face
d with challenges to connect the images to healthcare processes faster and
more accurately for better patient care and outcomes. Leaders in the medi
cal imaging space are looking for new technology that provides higher reso
lution images and faster image reconstruction\, while also enabling an imp
roved UI that incorporates multiple images and patient vitals. Additionall
y\, digital transformation initiatives require that these complex devices
transfer images and data throughout the entire Healthcare ecosystem secure
ly\, reliably and in real time. Please join RTI’s Healthcare/Medical marke
t development director\, David Niewolny\, as he addresses many of the chal
lenges in developing next generation medical imaging devices through the u
se of a data-centric connectivity framework like Data Distribution Service
(DDS). By mapping medical imaging design challenges and value drivers to
the benefits of a data-centric architecture\, David will show how device m
anufacturers can use off-the-shelf technology to lower costs\, shorten dev
elopment time and meet the needs of a rapidly changing market. If you are
an R&D executive\, an embedded engineer\, a program manager or consultant
that works in the medical imaging space\, this webinar is an opportunity t
o learn about the benefits of using a data-centric approach to connected h
ealthcare for medical imaging. Speaker: David Niewolny\, Director\, Health
care Market\, Real-Time Innovations\, Inc. (RTI) Moderator: Curt Schwader
er\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;  \; Today&rsquo\;s imaging systems are saving lives by making the unse
en visible to a degree never before possible. Medical professionals can no
w view\, in high resolution\, the systems within the human body so they ca
n diagnose pathological changes and precisely target therapeutic procedure
s. These imaging technologies will play a key role in the future of medica
l diagnostics and therapeutics. However\, medical professionals are faced
with challenges to connect the images to healthcare processes faster and m
ore accurately for better patient care and outcomes. Leaders in the medica
l imaging space are looking for new technology that provides higher resolu
tion images and faster image reconstruction\, while also enabling an impro
ved UI that incorporates multiple images and patient vitals. Additionally\
, digital transformation initiatives require that these complex devices tr
ansfer images and data throughout the entire Healthcare ecosystem securely
\, reliably and in real time. Please join RTI&rsquo\;s Healthcare/
Medical market development director\, David Niewolny\, as he addresses man
y of the challenges in developing next generation medical imaging devices
through the use of a data-centric connectivity framework like Data Distrib
ution Service (DDS). By mapping medical imaging design challenges and valu
e drivers to the benefits of a data-centric architecture\, David will show
how device manufacturers can use off-the-shelf technology to lower costs\
, shorten development time and meet the needs of a rapidly changing market
. If you are an R&\;D executive\, an embedded engineer\, a prog
ram manager or consultant that works in the medical imaging space\, this w
ebinar is an opportunity to learn about the benefits of using a data-centr
ic approach to connected healthcare for medical imaging. |
Speaker: David Niewoln
y\, Director\, Healthcare Market\, Real-Time Innovations\, Inc. (RTI)
Moderator: \; Curt Schwaderer\, OpenSystems
Media | |
table>
CATEGORIES:Education
UID:20180814T1306370Z-511394-1852@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180823T140000
DTEND;TZID=America/Sao_Paulo:20180823T150000
SUMMARY:SIMPLE PROVISIONING AND OVER THE AIR UPDATE OF CONNECTED EMBDEDDED
LINUX DEVICES
DESCRIPTION: The webinar will showcase the Toradex Colibri SoM ba
sed on the NXP i.MX 7 Applications Processor Platform. Key takeaways: Insi
ght on how to provision Toradex Colibri iMX7 using the Toradex Easy Instal
ler with an image that supports Mender Details on the Hosted Mender update
manager Connect device (authentication) Upload artifacts Create deploymen
ts Customization of Mender update flow using state scripts Get your questi
ons answered by experts in the live Q&A session. Register »
X-ALT-DESC;FMTTYPE=text/html: \;  \;  \;  \; &n
bsp\;  \;The webinar will showcase the Toradex Colibri SoM based on th
e NXP i.MX 7 Applications Processor Platform. Key takeawa
ys: - Insight on ho
w to provision Toradex Colibri iMX7 using the Toradex Easy Installer with
an image that supports Mender
- Details on the Hosted Mender update manager
- Connect device (authentication)
- Upl
oad artifacts
- Create deploymen
ts
- Customization of Mender update flow using
state scripts
Get your questions answered by exp
erts in the live Q&\;A session. \;  \;  \;  \;  \;<
/p> Register \;»\;<
/a>
CATEGORIES:Education
UID:20180814T1311280Z-511394-1854@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180829T120000
DTEND;TZID=America/Sao_Paulo:20180829T130000
SUMMARY:Designing Low-power Applications for LTE-M and NB-IoT LPWA Networks
DESCRIPTION: If you’re thinking of deploying a battery or solar operated
application or just require very low power for your IoT deployment\, this
webinar on LTE-M and NB-IoT is for you. Learn the main differences betwee
n the cellular LPWAN technologies and the power saving techniques required
on both the network and device side to ensure your deployments last for y
ears in the field. We’ll also discuss the merits of going directly to the
deep edge to get your data versus relying on a gateway to do the collectio
n. Join this webinar to learn: Whether or not it matters which LPWA networ
k to deploy on - LTE-M or NB-IoT Where LPWA and 5G meet and if there’s any
thing required to prepare Which technologies are driving the low-power con
sumption on the network and in the module How to best collect your data –
deep edge or gateway Speakers: Nicolas Damour\, Senior Manager\, Busines
s & Innovation Development\, Sierra Wireless Remy Marcotorchino\, Director
\, Marketing and Market Strategy\, Sierra Wireless Moderator: Brandon Lew
is\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \;
If you&rsquo\;re thinking of deployi
ng a battery or solar operated application or just require very low power
for your IoT deployment\, this webinar on LTE-M and NB-IoT is for you. Lea
rn the main differences between the cellular LPWAN technologies and the po
wer saving techniques required on both the network and device side to ensu
re your deployments last for years in the field. We&rsquo\;ll also discuss
the merits of going directly to the deep edge to get your data versus rel
ying on a gateway to do the collection. Join this webinar to learn
: - Whether or not it matters which LPWA network to deploy on
- LTE-M or NB-IoT
- Where LPWA and 5G meet and if there&rsquo\;s
anything required to prepare
- Which technologies are driving the
low-power consumption on the network and in the module
- How to be
st collect your data &ndash\; deep edge or gateway
 \;
| Speakers:<
br /> Nicolas Damour\, Senior Manager\, Business &\; Innovation Develop
ment\, Sierra Wireless Remy Marcotorchino\, Director\, Marketing and
Market Strategy\, Sierra Wireless Moderator: \;
Brandon Lewis\, OpenSystems Media | |
CATEGORIES:Education
UID:20180814T1308300Z-511394-1853@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180904T080000
DTEND;TZID=America/Sao_Paulo:20180904T170000
SUMMARY:NI - Aerospace and Defense Forum Brasil 2018
DESCRIPTION: Junte-se a nós para o Aerospace and Defense Forum 2018\, a no
ssa conferência anual que reúne especialistas renomados do Brasil para dis
cutir os desafios tecnológicos\, tendências e rumos da indústria. Não perc
a esta oportunidade de se manter atualizado e interagir com seus pares da
indústria. Destaques deste ano: 14 sessões técnicas\, 2 sessões práticas\,
apresentações de abertura e encerramento Temas incluem teste funcional au
tomatizado\, hardware-in-the-loop e radio-definido-por-software 9 palestra
ntes externos de empresas renomadas trazendo seus casos de sucesso Área de
exposição com 10 demonstrações de produtos ilustrando cenários de aplicaç
ões reais ACESSE A AGENDA
X-ALT-DESC;FMTTYPE=text/html: \; Junte-se a nó\;s para o Aerospace and Defense Forum 201
8\, a nossa conferê\;ncia anual que reú\;ne especialistas renom
ados do Brasil para discutir os desafios tecnoló\;gicos\, tendê
\;ncias e rumos da indú\;stria. Nã\;o perca esta oportunidade
de se manter atualizado e interagir com seus pares da indú\;stria.
p> Destaques deste ano: - 14 sessõ
\;es té\;cnicas\, 2 sessõ\;es prá\;ticas\, apresenta&cce
dil\;õ\;es de abertura e encerramento
- Temas incluem teste
funcional automatizado\, hardware-in-the-loop e radio-definido-por-softwar
e
- 9 palestrantes externos de empresas renomadas trazendo seus ca
sos de sucesso
- Á\;rea de exposiç\;ã\;o com 10
demonstraç\;õ\;es de produtos ilustrando cená\;rios de a
plicaç\;õ\;es reais
|  \; ACESSE A AGENDA | |  \;
CATEGORIES:Education
UID:20180814T1324380Z-511394-1859@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180822T140000
DTEND;TZID=America/Sao_Paulo:20180822T150000
SUMMARY:Photodiodes: Technology and Applications
DESCRIPTION:Photodiodes are widely used as the photodetecting elements in o
ptical systems for uses ranging from sensing to imaging and communications
. Being solid-state\, they are small\, rugged\, reliable\, and often low i
n cost. In this webcast\, Dr. Rüdiger Paschotta of RP Photonics will dis
cuss photodiode technology and applications. What You'll Learn: • Over
view of photodiode technology and operation • Common photodiode material t
ypes • Single-unit\, 1D and 2D arrays • Some primary applications • Numero
us examples Who Should Attend: • Applied research and development •
Optical and electrical design engineering • Test and measurement engineeri
ng • Applications engineering • Engineering management REGISTER
X-ALT-DESC;FMTTYPE=text/html:Photodiodes are widely used as the photodet
ecting elements in optical systems for uses ranging from sensing to imagin
g and communications. Being solid-state\, they are small\, rugged\, reliab
le\, and often low in cost.  \;In this webcast\, Dr. RÃ\;¼
\;diger Paschotta of RP Photonics will discuss photodiode technology and a
pplications.  \;  \; &bull\; | Overview of
photodiode technology and operation | &
bull\; | Common photodiode material types |
&bull\; | Single-unit\, 1D a
nd 2D arrays | &bull\; | Some primary applications | &bull\
; | Numerous examples |
 \;  \;  \; &bull\; Applied research and development
&bull\; Optical and electrical design engineering &bull\; T
est and measurement engineering &bull\; Applications engineering &bull\; Engineering management |
 \;  \;  \;
CATEGORIES:Education
UID:20180814T1314100Z-511394-1855@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180822T120000
DTEND;TZID=America/Sao_Paulo:20180822T130000
SUMMARY:Industrial Internet of Things: A look at the role of machine vision
technologies in the IIoT
DESCRIPTION:In a webcast on August 22\, Tom Brennan\, President\, Artemis V
ision\, will discuss IIoT and the potential impact on the machine vision m
arket\, and why this should matter to systems integrators and end users. H
e will also discuss the enabling technologies in IIoT\, which include embe
dded computing devices\, cloud computing\, cameras and smart cameras\, sof
tware\, artificial intelligence\, and more. What You'll Learn: • What IIoT
and Industry 4.0 are\, and how they can benefit you • How IIoT-based syst
ems are designed\, and how they work • The types of components involved in
IIoT • Why IIoT is relevant and significant to the machine vision and ima
ge processing market Who Should Attend: • Those looking to learn more abou
t the Industrial Internet of Things • Those looking to learn more about ho
w IIoT-based systems are designed\, and how they work • Those looking to i
mprove upon existing machine vision systems and processes • Those looking
to learn more about optimizing and accessing vision data Register Now>> Wa
tch August 22\, 2018 at 11:00 AM EDT / 10:00 AM CDT / 8:00 AM PDT / 3:00 P
M GMT
X-ALT-DESC;FMTTYPE=text/html:In a webcast on August 22\, Tom Brennan\, P
resident\, Artemis Vision\, will discuss IIoT and the potential impact on
the machine vision market\, and why this should matter to systems integrat
ors and end users. He will also discuss the enabling technologies in IIoT\
, which include embedded computing devices\, cloud computing\, cameras and
smart cameras\, software\, artificial intelligence\, and more. What You'll Learn: | &bull\; | What IIoT and Industry 4.0 are\, and how they can benefit you |
&bull\; | How IIoT-ba
sed systems are designed\, and how they work | &bull\; | The types of components involved in
IIoT | &bull\; |
Why IIoT is relevant and significant to the machine vision and image pr
ocessing market | &bull\; | Those
looking to learn more about the Industrial Internet of Things |
&bull\; | Those looking to le
arn more about how IIoT-based systems are designed\, and how they work | &bull\; | Those look
ing to improve upon existing machine vision systems and processes |
tr> &bull\; | Those looking t
o learn more about optimizing and accessing vision data | Register Now>\;>\; Watch Augus
t 22\, 2018 at 11:00 AM EDT / 10:00 AM CDT
/ 8:00 AM PDT / 3:00 PM GMT \; \;
CATEGORIES:Education
UID:20180814T1316180Z-511394-1856@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180821T120000
DTEND;TZID=America/Sao_Paulo:20180821T130000
SUMMARY:When to consider using 3D vision in your machine vision application
DESCRIPTION:3D imaging in machine vision can be accomplished in various way
s and provides numerous benefits for disparate imaging applications. Knowi
ng when to deploy 3D vision instead of 2D vision\, however\, is an importa
nt factor to consider before making a switch. In a free webcast on August
21\, Tom Wik\, National Product Manager\, Vision and Identification\, SICK
\, Inc. will describe the ways 2D and 3D vision can be deployed in machine
vision applications\, and when 3D should be the first choice. Wik will al
so describe the various 3D vision technology types\, while also providing
information on how they work and the types of applications in which they c
an be deployed. This webcast will conclude with a Q&A period. What You'll
Learn: • When to use 3D vision over 2D vision • 3D machine vision technolo
gy types • Benefits of 3D vision in inspection applications • Applications
where using 3D vision would be beneficial Who Should Attend: • Anyone wan
ting to learn about 3D imaging • Anyone wanting to learn when to use 3D vi
sion over 2D vision • Scientists\, engineers\, designers\, end users • Cur
rent users who require a more in-depth understanding of the underlying tec
hnology • Those considering 3D vision products in future projects Register
Now>> Watch August 21\, 2018 at 11:00 AM EDT / 10:00 AM CDT / 8:00 AM PDT
/ 3:00 PM GMT
X-ALT-DESC;FMTTYPE=text/html:3D imaging in machine vision can be accompl
ished in various ways and provides numerous benefits for disparate imaging
applications. Knowing when to deploy 3D vision instead of 2D vision\, how
ever\, is an important factor to consider before making a switch. In a fre
e webcast on August 21\, Tom Wik\, National Product Manager\, Vision and I
dentification\, SICK\, Inc. will describe the ways 2D and 3D vision can be
deployed in machine vision applications\, and when 3D should be the first
choice.
Wik will also describe the various 3D vision technol
ogy types\, while also providing information on how they work and the type
s of applications in which they can be deployed. This webcast will conclud
e with a Q&\;A period. &bull\; | When to use 3D vision over 2D
vision | &bull\; |
3D machine vision technology types | &bu
ll\; | Benefits of 3D vision in inspection applicatio
ns | &bull\; | Appl
ications where using 3D vision would be beneficial |
&bull\; | Anyone wanting to learn about 3D imaging | &bull\; | Anyone wanting to
learn when to use 3D vision over 2D vision | &bull\; | Scientists\, engineers\, designers\,
end users | &bull\; | Current users who require a more in-depth understanding of the underlyi
ng technology | &bull\; | Those considering 3D vision products in future projects |
Register Now>\;>\; Watc
h August 21\, 2018 at 11:00 AM EDT / 10:00
AM CDT / 8:00 AM PDT / 3:00 PM GMT \; \;
CATEGORIES:Education
UID:20180814T1318380Z-511394-1857@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180821T130000
DTEND;TZID=America/Sao_Paulo:20180821T140000
SUMMARY:NOR Flash Memory: A Functional Safety Solution for Automotive Appli
cations
DESCRIPTION:This webinar will provide participants with an understanding of
why functional safety is critical for automotive applications. Topics wil
l include developments of NOR Flash memories in safety-critical applicatio
ns\, including ADAS\, focusing on critical features needed to enable funct
ional safety in memory devices. Attendees will learn: Why functional safet
y is critical Systematic approach for functional safety in automotive Comm
on faults/failures in memory devices Key requirements for designing functi
onal safety into memories REGISTER
X-ALT-DESC;FMTTYPE=text/html:This webinar will provide participants with
an understanding of why functional safety is critical for automotive appl
ications. Topics will include developments of NOR Flash memories in safety
-critical applications\, including ADAS\, focusing on critical features ne
eded to enable functional safety in memory devices. Attendees will
learn: - Why functional safety is critical
- Systema
tic approach for functional safety in automotive
- Common faults/f
ailures in memory devices
- Key requirements for designing functio
nal safety into memories
REGISTER
CATEGORIES:Education
UID:20180814T1322140Z-511394-1858@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171019T150000
DTEND;TZID=America/Sao_Paulo:20171019T160000
SUMMARY:Taking Control of Smart Meters with Diagnostic Data
DESCRIPTION: Summary Utilities are using smart metering to analyze electri
city consumption patterns\, but are not fully using diagnostic data to mai
ntain and operate their meters. This webinar will discuss new in-meter hea
lth monitoring trends and will introduce ADI's mSure® technology. mSure® e
nables utilities to remotely access\, monitor and diagnose machine health
and take action before it becomes too late—thus saving overall operating c
osts and protecting revenue. During this webinar you will learn: Criteri
a to consider for your next Advanced Metering Infrastructure (AMI) deploym
ent What is mSure® diagnostics technology and its advantages in identifyin
g out-of-spec and faulty meters Building an end-to-end meter analytics sol
ution for actionable insights Register now! Who should attend People in th
e Utility industry\, such as Head of Metering\, Chief Commercial Officer\,
Asset Management\, Data\, Analytics and Equipment Manufacturers. Smart me
ter HW and SW Design Engineers.
X-ALT-DESC;FMTTYPE=text/html: \; Summary Utilitie
s are using smart metering to analyze electricity consumption patterns\, b
ut are not fully using diagnostic data to maintain and operate their meter
s. This webinar will discus
s new in-meter health monitoring trends and will introduc
e ADI's mSure®\; technology. mSure®\; enables utili
ties to remotely access\, monitor and diagnose machine health and take act
ion before it becomes too late&mdash\;thus saving overall operating costs
and protecting revenue.  \; During this webinar you wi
ll learn: - Criteria to consider for your next Advanced Meter
ing Infrastructure (AMI) deployment
- What is mSure®\; diagnost
ics technology and its advantages in identifying out-of-spec and faulty me
ters
- Building an end-to-end meter analytics solution for actiona
ble insights
Re
gister now! Who should attend People in the U
tility industry\, such as Head of Metering\, Chief Commercial Officer\, As
set Management\, Data\, Analytics and Equipment Manufacturers. Smart meter
HW and SW Design Engineers.
CATEGORIES:Education
UID:20171008T1734190Z-511394-1586@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171018T160000
DTEND;TZID=America/Sao_Paulo:20171018T170000
SUMMARY:Debug and Test Automotive CAN and CAN FD Buses for Higher Reliabili
ty
DESCRIPTION:Why this webcast is important Serial bus communication is often
susceptible to signal integrity problems caused by the naturally harsh en
vironment found in automobiles\, including signal interference from igniti
on systems and random noise\, which could cause errors during critical com
munication cycles. Attend this webinar for an overview of the protocol a
nd timing of the primary serial buses used in automobiles today for contro
l and monitoring including CAN\, CAN FD\, LIN\, SENT\, and FlexRay. Also l
earn how to use an oscilloscope to trigger and decode frame information an
d bus errors. Who should attend Engineers\, technicians\, and managers i
nvolved in automotive serial bus design and test. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:Why this webcast is important Serial bus communic
ation is often susceptible to signal integrity problems caused by the natu
rally harsh environment found in automobiles\, including signal interferen
ce from ignition systems and random noise\, which could cause errors durin
g critical communication cycles.  \; Attend this webinar for an overview of the protocol and timing of the primary serial buses
used in automobiles today for control and monitoring including CAN\, CAN
FD\, LIN\, SENT\, and FlexRay. Also learn how to use an oscillosco
pe to trigger and decode frame information and bus errors.
 \; Who should attend Engineers\, te
chnicians\, and managers involved in automotive serial bus design and test
. REGISTER NOW
CATEGORIES:Education
UID:20171008T1740270Z-511394-1587@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171010T140000
DTEND;TZID=America/Sao_Paulo:20171010T150000
SUMMARY: STM32L4 Webinar Explore your own innovative IoT ideas with the ‘ou
t-of-the-box’ ready cloud connectivity board
DESCRIPTION:This 1-hour webinar will demonstrate how the STM32L4 IoT Discov
ery Kit makes it simple to connect with Microsoft Azure IoT Suite and enab
les you to explore your own innovative IoT ideas! The IoT Discovery Kit fe
atures an ultra-low-power STM32L4 Cortex® -M4 MCU\, wireless connectivity
and an array of sensors to showcase cloud connectivity features. Join us T
uesday\, October 10th at 12 noon CDT You will learn: About the STM32L4 IoT
Discovery Kit\, an ‘out-of-the-box’ ready cloud connectivity board for Io
T nodes featuring: Ultra-low-power STM32L4 Cortex® -M4 MCU Broad range of
on board connectivity including Bluetooth Smart\, Wi-Fi\, Sub-GHz and NFC
Full range of motion\, environmental and proximity sensors Digital microph
ones How to get started with the STM32 IoT Discovery Kit: Configure and in
teract with Microsoft Azure IoT Suite Application engineers will be availa
ble to answer your questions directly online. There is no charge to partic
ipate in this event\, but you must register through my.st.com. If you alre
ady have a my.st.com account\, REGISTER NOW. If you do not have a my.st.co
m account\, click here to create your account and register. Register Today
for our Simplifying Microsoft Azure Connectivity with the STM32L4 IoT Dis
covery Kit seminars and receive a complimentary STM32L4 Discovery kit IoT
node and learn how to connect with Microsoft Azure IoT Suite! Webinar agen
da 12:00 pm – 12:45 pm (CDT) Introduction and overview of the STM32L4 IoT
Discovery Kit Configure a Microsoft Azure IoT Suite connection with the Io
T Discovery Kit Monitor real-time IoT Discovery Kit board sensor data on A
zure IoT Hub Control the IoT Discovery Kit using Azure messages 12:45 pm –
1:00 pm Q&A REGISTER
X-ALT-DESC;FMTTYPE=text/html:This 1-hour webinar will demonstrate how th
e STM32L4 IoT Discovery Kit makes it simple to connect with Microsoft Azur
e IoT Suite and enables you to explore your own innovative IoT ideas!
The IoT Discovery Kit features an ultra-low-power STM32L4 Cortex®\;
-M4 MCU\, wireless connectivity and an array of sensors to showcase cloud
connectivity features. Join
us Tuesday\, October 10th at 12 noon CDT You will
learn: About the STM32L4 IoT Discovery Kit\, an &lsquo\;
out-of-the-box&rsquo\; ready cloud connectivity board for IoT nodes featur
ing: - Ultra-low-power STM32L4 Cortex®\; -M4 MCU
- Broad range of on board connectivity including Bluetooth Smart\, Wi-Fi\,
Sub-GHz and NFC
- Full range of motion\, environmental and proximi
ty sensors
- Digital microphones
How to get starte
d with the STM32 IoT Discovery Kit: - Configure and interact
with Microsoft Azure IoT Suite
Application engineers will
be available to answer your questions directly online. There is no
charge to participate in this event\, but you must register through my.st
.com. If you already have a my.st.com account\, REGISTER NOW. If you do not have a my.st.com account\, click here to create you
r account and register. Register Today for our \;Simplifying Microsoft Azure Connectivity with the STM32L4 IoT Discovery K
it seminars \;and receive a complimentary STM32L4 Discovery kit I
oT node and learn how to connect with Microsoft Azure IoT Suite! <
strong>Webinar agenda 12:00 pm &ndash\; 12:45 pm
(CDT) - Introduction and overview of the STM
32L4 IoT Discovery Kit
- Configure a Microsoft Az
ure IoT Suite connection with the IoT Discovery Kit
- Monitor real-time IoT Discovery Kit board sensor data on Azure IoT Hu
b
- Control the IoT Discovery Kit using Azure mes
sages
12:45 pm &ndash\; 1:00 pm<
/p> REGISTER
CATEGORIES:Education
UID:20171008T1746310Z-511394-1588@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171011T140000
DTEND;TZID=America/Sao_Paulo:20171011T150000
SUMMARY:How to use high-speed imaging technology in inspection applications
DESCRIPTION:In a free webcast on October 11\, Perry West\, President\, Auto
mated Vision Systems\, Inc. discusses challenges presented by high-speed i
nspection and techniques and components available to meet these challenges
. What You'll Learn: • Techniques for reframing the inspection requirement
s • How to choose lighting and lenses to make high-speed inspection practi
cal • How to select a camera to achieve high-speed inspection • How to cho
ose the best camera interface • How to configure image processing to achie
ve good speed performance Who Should Attend: • Those involved in specifyin
g cameras or vision systems • Those wishing to gain a greater understandin
g of camera parameters • Systems designers and engineering managers REGIST
ER
X-ALT-DESC;FMTTYPE=text/html:In a free webcast on October 11\, Perry West\, \;President\, 
\;Automated Vision Systems\, Inc. discusses challenges presented by high-s
peed inspection and techniques and components available to meet these chal
lenges.
&bull\; | Techniques for reframing the inspection re
quirements | &bull\; | How to choose lighting and lenses to mak
e high-speed inspection practical | &bul
l\; | How to select a c
amera to achieve high-speed inspection | &bull\; | How to choose the best camera interface | &bull\; | How to co
nfigure image processing to achieve good speed performance |
tbody> Who Should Attend: &bull\; | Those invol
ved in specifying cameras or vision systems | &bull\; | Those w
ishing to gain a greater understanding of camera parameters | <
tr> &bull\; | Systems designers and engineering managers |
REGISTER
CATEGORIES:Education
UID:20171008T1751030Z-511394-1589@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171019T160000
DTEND;TZID=America/Sao_Paulo:20171019T170000
SUMMARY:Structural Adhesives vs. Fasteners - What's the Right Choice for Yo
ur Application?
DESCRIPTION:Summary Mechanical fasteners are a known method for joining mat
erials together in a variety of industries. They've been around for a very
long time and come in a variety of sizes\, configurations\, and options.
They are typically rather inexpensive on a piece-part basis and can be fas
t and simple to use. However\, due to their limitations (such as fatigue p
erformance\, leaking\, bimetallic corrosion\, and appearance) designers ar
e increasingly looking to cut overall costs\, increase durability\, improv
e appearance and achieve unique\, competitive new designs\, especially wit
h modern substrates such as composites. With these goals in mind\, tradi
tional fasteners aren't going to make the cut. Adhesives and tapes\, howev
er\, might be great alternative options. This webinar will provide: A comp
arison of these two fastening methods A framework for selecting the approp
riate adhesive technology for an application A methodology for making the
switch from fasteners to adhesives and tapes Register today!
X-ALT-DESC;FMTTYPE=text/html:Summary Mechanical faste
ners are a known method for joining materials together in a variety of ind
ustries. They've been around for a very long time and come in a variety of
sizes\, configurations\, and options. They are typically rather inexpensi
ve on a piece-part basis and can be fast and simple to use. However\, due
to their limitations (such as fatigue performance\, leaking\, bimetallic c
orrosion\, and appearance) designers are increasingly looking to c
ut overall costs\, increase durability\, improve appearance and achieve un
ique\, competitive new designs\, especially with modern substrate
s such as composites.  \; With these goals in mind\, t
raditional fasteners aren't going to make the cut. Adhesives and tapes\, h
owever\, might be great alternative options. This webinar will provide: - A comparison of these two fastening methods
- A frame
work for selecting the appropriate adhesive technology for an application<
/li>
- A methodology for making the switch from fasteners to adhesives
and tapes
Register today!
CATEGORIES:Education
UID:20171008T1800090Z-511394-1591@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171018T140000
DTEND;TZID=America/Sao_Paulo:20171018T150000
SUMMARY:Design with Purpose\, Create with Passion
DESCRIPTION:Tired of tedious non-design tasks interfering with your creativ
e workflow? You’re not alone. Discover how Altium Designer® draws on innov
ative technologies to fuel the creative process and indulge your passion f
or design. JOIN THE WEBINAR TO LEARN: How to save time designing your
PCB’s in a comprehensive\, unified design environment How to gain and main
tain a competitive economic advantage with access to real-time supply chai
n data How to clear unique design hurdles with versatile 3-D integration i
ncluding Rigid-Flex design technology Register
X-ALT-DESC;FMTTYPE=text/html:Tired of tediou
s non-design tasks interfering with your creative workflow? You&rsquo\;re
not alone. Discover how Altium Designer®\; draws on innovative technolo
gies to fuel the creative process and indulge your passion for design.  \;  \; - How to save time designing your PCB&rsquo\;s in
a comprehensive\, unified design environment
- How to gain and ma
intain a competitive economic advantage with access to real-time supply ch
ain data
- How to clear unique design hurdles with versatile 3-D i
ntegration including Rigid-Flex design technology
Register  \;
CATEGORIES:Education
UID:20171008T1805140Z-511394-1592@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171010T100000
DTEND;TZID=America/Sao_Paulo:20171010T110000
SUMMARY:Enabling Smart Building Management Solutions
DESCRIPTION:Summary Many owners and operators of small to mid-sized buildin
gs are frustrated by system interoperability problems and the lack of stan
dardization\, according to the Continental Automated Building Association
(CABA).1 As a result\, they find it difficult to realize energy savings\,
lower maintenance costs\, and improve overall building performance. This w
ebinar discusses how software vendors\, systems integrators\, and solution
providers can overcome these issues with an open-standards-based\, applic
ation-ready platform. Intel® Building Management Platform (Intel® BMP) mak
es it easy to create smart building management solutions by connecting to
disparate building equipment and devices that use a variety of protocols.
This Internet of Things (IoT) platform also sends data to cloud-based serv
ices and applications for business intelligence\, analytics\, dashboards\,
and other applications. The webinar covers: - Key features of Intel BMP a
rchitecture - Intel BMP use cases - Intel BMP SaaS software - CANDI Power
Tools - An implementation example - Arrow’s aggregates the solution to bri
ng everything together from the sensors\, to the BMP to the top end analyt
ics 1. Continental Automated Building Association (CABA) Landmark Study\,
'Intelligent Buildings and the Impact of the Internet of Things\,' Februa
ry\, 2017. register
X-ALT-DESC;FMTTYPE=text/html: Many owners and operators of small to mid-sized b
uildings are frustrated by system interoperability problems and the lack o
f standardization\, according to the Continental Automated Building Associ
ation (CABA).1 As a result\, they find it difficult to realize
energy savings\, lower maintenance costs\, and improve overall building pe
rformance. This webinar discusses
how software vendors\, systems integrators\, and solution providers can ov
ercome these issues with an open-standards-based\, application-ready platf
orm. Intel®\; Buildin
g Management Platform (Intel®\; BMP) makes it easy to create smart building management solution
s by connecting to disparate building equipment and devices that use a var
iety of protocols. This Internet of Things (IoT) platform also sends data
to cloud-based services and applications for business intelligence\, analy
tics\, dashboards\, and other applications. The webinar covers: - Key features of Inte
l BMP architecture - Intel BMP use cases - Intel BMP SaaS
software - CANDI Power Tools - An implementation example -
Arrow&rsquo\;s aggregates the solution to bring everything together from
the sensors\, to the BMP to the top end analytics 1. \; \;Continental Au
tomated Building Association (CABA) Landmark Study\, 'Intelligent Building
s and the Impact of the Internet of Things\,' February\, 2017.
 \; register
CATEGORIES:Education
UID:20171008T1812110Z-511394-1593@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171018T170000
DTEND;TZID=America/Sao_Paulo:20171018T180000
SUMMARY:Deep UV LEDs—Enabling innovations in disinfection
DESCRIPTION:Register Now Deep UV LEDs—Enabling Innovations in Disinfection
October 18\, 2017 2PM ET The desire for healthier living\, cleaner environ
ments and access to microbiologically safe drinking water is a persistent
and growing need. Trends around the increase of antibiotic resistant super
bugs and population growth\, expansion and aging demand new disinfection m
ethods for ensuring community safety. Integration of disinfection with dee
p UV LEDs offer OEMs the opportunity to address these trends with proven\,
reliable technology. This webinar for R&D departments and design engineer
s will cover: Market trends and how deep UV LEDs can enable product innova
tion The top 4 things to know about designing with deep UV LEDs
X-ALT-DESC;FMTTYPE=text/html: <
div style='font-size: 0pt\; line-height: 0pt\; height: 20px\;'> | <
table width='100%' cellspacing='0' cellpadding='0' align='center' bgcolor=
'#ffffff'> | | <
span class='m_-511092806420501569auto-style2'>Deep UV LEDs&mdash\;Enabling
Innovations in Disinfection October 18\, 2017 2PM ET<
/strong> The desire for healthier living\, cleaner environments and access to mi
crobiologically safe drinking water is a persistent and growing need. Tren
ds around the increase of antibiotic resistant superbugs and population gr
owth\, expansion and aging demand new disinfection methods for ensuring co
mmunity safety. Integration of disinfection with deep UV LEDs offer OEMs t
he opportunity to address these trends with proven\, reliable technology.
This webinar for R&am
p\;D departments and design engineers will cover: -
Market trends and how deep UV LEDs can enable product innovation
- The top 4 things to know about designing with deep UV LEDs
<
/td> |
CATEGORIES:Education
UID:20171008T1817200Z-511394-1595@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170509T150000
DTEND;TZID=America/Sao_Paulo:20170509T160000
SUMMARY:Wireless LAN Evolution
DESCRIPTION: Why this webcast is important With the demand for new usage
models and more applications\, dense Wi-Fi deployments and more outdoor a
nd public access\, extensive effort and work are in progress in IEEE on em
erging standards\, from 802.11ac to 802.11ax or from 802.11ad to 802.11ay.
This webcast will discuss the evolution of WLAN technologies along with s
olutions addressing associated test challenges such as high order modulati
on\, OFDMA and MIMO. Who should attend Wireless system\, subsystem a
nd component design and test engineers\; and engineering managers interest
ed in learning techniques for keeping up with latest standards pushing the
limits of device bandwidth\, adjacent channel and spurious performance\,
and complexity. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \;  \; Why this webcast is important With
the demand for new usage models and more applications\, dense Wi-Fi deploy
ments and more outdoor and public access\, extensive effort and work are i
n progress in IEEE on emerging standards\, from 802.11ac to 802.11ax or fr
om 802.11ad to 802.11ay. This webcast will discuss the evolution of WLAN t
echnologies along with solutions addressing associated test challenges suc
h as high order modulation\, OFDMA and MIMO.  \; | Who sh
ould attend Wireless system\, subsystem and componen
t design and test engineers\; and engineering managers interested in learn
ing techniques for keeping up with latest standards pushing the limits of
device bandwidth\, adjacent channel and spurious performance\, and complex
ity. | |  \; REGISTER NOW
CATEGORIES:Education
UID:20170420T1719170Z-511394-1337@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170427T160000
DTEND;TZID=America/Sao_Paulo:20170427T170000
SUMMARY:New Engineering for the Digitized World
DESCRIPTION:The digital world of today has changed the nature of design and
manufacturing. New integrated design tools require a greater new level of
sophistication from users. CAD tools are now integrated with simulation\,
CAE\, and PLM\, making design work easer for engineers. Yet that engineer
is now required to know more about everything involving mechanical proper
ties of the product as well electronic systems. When you tie manufacturing
into the mix\, the demands on the engineer are even greater. This webin
ar will cover • Addressing the new needs of industry: How engineers should
tackle a myriad of manufacturing issues in the design phase to prevent co
st overruns and quality issues before they are experienced in production.
• Benchmarking the elements of a successful product design and what questi
ons to ask. • How Quality of Light affects quality of life and what this m
eans to the design engineer. • New software tools that the design engineer
can harness to streamline product design\, costing\, and manufacturing at
the design phase from a holistic standpoint. REGISTER
X-ALT-DESC;FMTTYPE=text/html: The digital w
orld of today has changed the nature of design and manufacturing. New inte
grated design tools require a greater new level of sophistication from use
rs. CAD tools are now integrated with simulation\, CAE\, and PLM\, making
design work easer for engineers. Yet that engineer is now required to know
more about everything involving mechanical properties of the product as w
ell electronic systems. When you tie manufacturing into the mix\, the dema
nds on the engineer are even greater.  \; |
<
strong>This webinar will cover
|
&bull\; | Addressing the new needs of industry: How engineer
s should tackle a myriad of manufacturing issues in the design phase to pr
event cost overruns and quality issues before they are experienced in prod
uction. | &bull\; | Benchmarking th
e elements of a successful product design and what questions to ask. |
&bull\; | How Quality of Light affects
quality of life and what this means to the design engineer. |
&bull\; | New software tools that the design e
ngineer can harness to streamline product design\, costing\, and manufactu
ring at the design phase from a holistic standpoint. |
REGISTER
CATEGORIES:Education
UID:20170420T1724390Z-511394-1339@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170428T160000
DTEND;TZID=America/Sao_Paulo:20170428T170000
SUMMARY:EE Times and Embedded.com Present: Embedded Markets Study
DESCRIPTION:Overview: For more than 20 years\, EETimes.com and Embedded.c
om have undertaken their annual Embedded Markets Study in which we ask the
engineers battling in the trenches to keep us informed as to current the
state of play and the most pressing challenges regarding their current cre
ations and future projects. In this year’s study\, we added focused questi
ons regarding the IoT and the adoption of advanced technologies. Approxima
tely what percentage of embedded projects will be primarily devoted to the
IoT in the coming year? How many embedded projects are currently using\,
or plan to use\, embedded vision\, embedded speech\, virtual reality\, aug
mented reality\, and/or cognitive (machine learning) capabilities? *All at
tendees of the Embedded Study Webinar will receive an official copy of the
Embedded Market Study. » Register Today
X-ALT-DESC;FMTTYPE=text/html: Overv
iew:  \; | For more than 20 years\, EETimes.com and Embedded
.com have undertaken their annual Embedded Markets Study in which we ask t
he engineers battling in the trenches to keep us informed as to current th
e state of play and the most pressing challenges regarding their current c
reations and future projects. In this year&rsquo\;s study\, w
e added focused questions regarding the IoT and the adoption of advanced t
echnologies. Approximately what percentage of embedded projects will be pr
imarily devoted to the IoT in the coming year? How many embedded projects
are currently using\, or plan to use\, embedded vision\, embedded speech\,
virtual reality\, augmented reality\, and/or cognitive (machine learning)
capabilities? *All attendees of the Embedded Study Webin
ar will receive an official copy of the Embedded Market Study.
 \; | »\
; Register Today | <
/table>  \;
CATEGORIES:Education
UID:20170420T1728060Z-511394-1341@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170516T160000
DTEND;TZID=America/Sao_Paulo:20170516T170000
SUMMARY:IoT Panel: Biggest IoT Security Threats and How to Address Them
DESCRIPTION: Sponsored by: REGISTER NOW Internet of Things applications ar
e advancing past prototypes and proof of concepts into commercial use. As
these systems get deployed\, the security architecture enters a new danger
zone with high stakes if a security breach occurs. What is the biggest se
curity threat that needs to be addressed? What’s the most effective approa
ch to addressing security for IoT? Join us as IoT experts discuss importan
t security concerns and how to address them. Sponsors: Ayla Networks\, Par
asoft\, and RTI Moderator: Curt Schwaderer\, OpenSystems Media REGISTER N
OW
X-ALT-DESC;FMTTYPE=text/html:
&
nbsp\; | | Sponsored by: | Internet of Things applicat
ions are advancing past prototypes and proof of concepts into commercial u
se. As these systems get deployed\, the security architecture enters a new
danger zone with high stakes if a security breach occurs. What is the big
gest security threat that needs to be addressed? What&rsquo\;s the most ef
fective approach to addressing security for IoT? Join us as IoT ex
perts discuss important security concerns and how to address them.
td> | Sponsors: Ayla Networks\, Parasoft\, and RTI
Moderator: \; Curt
Schwaderer\, OpenSystems Media | |
 \;
CATEGORIES:Education
UID:20170420T1732070Z-511394-1343@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170427T140000
DTEND;TZID=America/Sao_Paulo:20170427T150000
SUMMARY:7nm Design Tips for Performance\, Power and Area Optimization
DESCRIPTION:The new 7-nanometer (7-nm) processes available from leading fou
ndries provide inherent power and area advantages. System-on-chip (SoC) de
signers using this process can either make the most of the process’s featu
res\, or fail to and lose a potential market advantage. To develop the mos
t competitive SoCs in this process\, designers should choose optimized fou
ndation IP building blocks (embedded memories and standard cell libraries)
for the highest possible performance with lowest power and area. With the
combination of the 7-nm process and the right foundation IP\, designers c
an develop optimized SoCs for applications from automotive ADAS to high-en
d green servers and network processors and consumer products--and everythi
ng in-between. In this webinar\, you will learn: Why the 7-nm process is g
aining traction How to take advantage of the 7nm process when transitionin
g from other process technologies How optimized embedded memories and logi
c libraries can enable your SoC design to deliver required performance whi
le minimizing area and power The innovative power management techniques us
ing multiple voltage domains in different SoC logic blocks and multiple po
wer modes built into embedded memories can deliver the optimal tradeoffs i
n SoC millwatts per gigahertz Register
X-ALT-DESC;FMTTYPE=text/html:The new 7-nan
ometer (7-nm) processes available from leading foundries provide inherent
power and area advantages. System-on-chip (SoC) designers using this proce
ss can either make the most of the process&rsquo\;s features\, or fail to
and lose a potential market advantage. To develop the most competitive SoC
s in this process\, designers should choose optimized foundation IP buildi
ng blocks (embedded memories and standard cell libraries) for the highest
possible performance with lowest power and area. With the combination of t
he 7-nm process and the right foundation IP\, designers can develop optimi
zed SoCs for applications from automotive ADAS to high-end green servers a
nd network processors and consumer products--and everything in-between. In this webinar\, you will learn: - Why the 7-nm process is gaining traction
- How to take advantage of the 7nm process when transitioning fro
m other process technologies
- How optimized embedded memories and
logic libraries can enable your SoC design to deliver required performanc
e while minimizing area and power
- The innovative power managemen
t techniques using multiple voltage domains in different SoC logic blocks
and multiple power modes built into embedded memories can deliver the opti
mal tradeoffs in SoC millwatts per gigahertz
 \; Register
CATEGORIES:Education
UID:20170420T1741150Z-511394-1348@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170425T150000
DTEND;TZID=America/Sao_Paulo:20170425T160000
SUMMARY:Unraveling the Mysteries of Everyday Oscilloscope Measurements
DESCRIPTION: Why this webcast is important Avoid making incorrect osci
lloscope measurements. Gain a better understanding of concepts such as pro
be loading and probe compensation\, when to use normal versus auto trigger
mode\, what a trigger hold-off is\, and more. Learn how to characterize t
he frequency response of an amplifier using an integrated Bode Plot applic
ation. We will also discuss how mask testing can be used to troubleshoot y
our design. These concepts will be applied in several application measurem
ent examples. Who should attend Engineers\, technicians and students.
REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; |
W
hy this webcast is important Avoid making incorrect
oscilloscope measurements. Gain a better understanding of concepts such as
probe loading and probe compensation\, when to use normal versus auto tri
gger mode\, what a trigger hold-off is\, and more. Learn how to characteri
ze the frequency response of an amplifier using an integrated Bode Plot ap
plication. We will also discuss how mask testing can be used to troublesho
ot your design. These concepts will be applied in several application meas
urement examples.  \; Who should
attend Engineers\, technicians and students. <
/td> | | &nbs
p\; REGISTER NOW
CATEGORIES:Education
UID:20170420T1747450Z-511394-1351@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180423T150000
DTEND;TZID=America/Sao_Paulo:20180423T160000
SUMMARY:Learn How to Build Your Own Internet Connected PLC - Day 1
DESCRIPTION:Programmable Logic Controllers (PLC’s) are the foundation for m
anufacturing and the industrial IoT. Although many developers are probably
used to purchasing off-the-shelf PLC controllers\, it is possible to buil
d a simple low-cost PLC controller using microcontroller components. In th
is CEC course\, we will explore PLC fundamentals and how you can create yo
ur own internet connected PLC controller using an STM32 microcontroller. B
y attending this course you will: Learn the fundamentals around PLCs Under
stand the steps necessary to design an internet connected PLC and how to p
roperly select components for an app Examine the underlying details on how
to create an internet connected PLC Setup a software project and the majo
r library components necessary to start assembling the PLC Learn how to te
st a PLC application that controls several I/O devices over the internet t
hrough a mobile device Examine Wi-Fi communication and how-to setup the Wi
-Fi capabilities of our internet connected PLC Get the major points that y
ou need to take-a-way and implement a PLC in your development cycle Not ab
le to make the live event? Register anyway - You can listen when it's conv
enient for you. The lectures will be available on-demand immediately after
the live class ends. Kindest regards\, Design News CEC Team April 23 – Da
y 1 – PLC Fundamentals In this session\, attendees will learn about progra
mmable logic controllers (PLC’s) and the role that they play in the indust
rial IoT. We will explore when and where PLC’s can be used along with the
typical PLC use case. We will also begin a basic discussion about how to c
ontrol a PLC through ladder logic.
X-ALT-DESC;FMTTYPE=text/html:Programmable
Logic Controllers (PLC&rsquo\;s) are the foundatio
n for manufacturing and the industrial IoT. Although many developers are p
robably used to purchasing off-the-shelf \;PLC
 \;controllers\, it is possible to build a simple low-cost \;PLC \;controller using microcontroller components.<
/p> In this \;CEC
span> \;course\, we will explore \;PLC&nbs
p\;fundamentals and how you can create your own internet connected \;<
span class='il'>PLC \;controller using an STM32 microcontroller
. By attending this course you will:
- Learn the fundamentals aroun
d \;PLCs
- Understand the steps necess
ary to \;design \;an internet connected&nb
sp\;PLC \;and how to properly select component
s for an app
- Examine the underlying details on how to create an
internet connected \;PLC
- Setup a sof
tware project and the major library components necessary to start assembli
ng the \;PLC
- Learn how to test a&nbs
p\;PLC \;application that controls several I/O
devices over the internet through a mobile device
- Examine Wi-Fi
communication and how-to setup the Wi-Fi capabilities of our internet con
nected \;PLC
- Get the major points th
at you need to take-a-way and implement a \;PLC \;in your development cycle
Not able to make the live event? Register anyway - You can listen wh
en it's convenient for you. The lectures will be available on-demand immed
iately after the live class ends. Ki
ndest regards\, Design \;News \;CEC \;Team  \;
CATEGORIES:Education
UID:20180422T1945190Z-511394-1765@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180424T150000
DTEND;TZID=America/Sao_Paulo:20180424T160000
SUMMARY:Learn How to Build Your Own Internet Connected PLC - Day 2
DESCRIPTION:Programmable Logic Controllers (PLC’s) are the foundation for m
anufacturing and the industrial IoT. Although many developers are probably
used to purchasing off-the-shelf PLC controllers\, it is possible to buil
d a simple low-cost PLC controller using microcontroller components. In th
is CEC course\, we will explore PLC fundamentals and how you can create yo
ur own internet connected PLC controller using an STM32 microcontroller. B
y attending this course you will: Learn the fundamentals around PLCs Under
stand the steps necessary to design an internet connected PLC and how to p
roperly select components for an app Examine the underlying details on how
to create an internet connected PLC Setup a software project and the majo
r library components necessary to start assembling the PLC Learn how to te
st a PLC application that controls several I/O devices over the internet t
hrough a mobile device Examine Wi-Fi communication and how-to setup the Wi
-Fi capabilities of our internet connected PLC Get the major points that y
ou need to take-a-way and implement a PLC in your development cycle Not ab
le to make the live event? Register anyway - You can listen when it's conv
enient for you. The lectures will be available on-demand immediately after
the live class ends. Kindest regards\, Design News CEC Team April 24 – Da
y 2 – Designing a PLC This session will walk developers through the steps
necessary to design an internet connected PLC. We will select the major ha
rdware and software components that will be used to assemble the PLC. We w
ill explore how to perform an objective analysis using a KT matrix. Attend
ees will walk away with an increased knowledge on how to properly select c
omponents for an application.
X-ALT-DESC;FMTTYPE=text/html:Programmable
Logic Controllers (PLC&rsquo\;s) are the foundatio
n for manufacturing and the industrial IoT. Although many developers are p
robably used to purchasing off-the-shelf \;PLC
 \;controllers\, it is possible to build a simple low-cost \;PLC \;controller using microcontroller components.<
/p> In this \;CEC
span> \;course\, we will explore \;PLC&nbs
p\;fundamentals and how you can create your own internet connected \;<
span class='il'>PLC \;controller using an STM32 microcontroller
. By attending this course you will:
- Learn the fundamentals aroun
d \;PLCs
- Understand the steps necess
ary to \;design \;an internet connected&nb
sp\;PLC \;and how to properly select component
s for an app
- Examine the underlying details on how to create an
internet connected \;PLC
- Setup a sof
tware project and the major library components necessary to start assembli
ng the \;PLC
- Learn how to test a&nbs
p\;PLC \;application that controls several I/O
devices over the internet through a mobile device
- Examine Wi-Fi
communication and how-to setup the Wi-Fi capabilities of our internet con
nected \;PLC
- Get the major points th
at you need to take-a-way and implement a \;PLC \;in your development cycle
Not able to make the live event? Register anyway - You can listen wh
en it's convenient for you. The lectures will be available on-demand immed
iately after the live class ends. Ki
ndest regards\, Design \;News \;CEC \;Team - <
header>
This session will wa
lk developers through the steps necessary to design an internet connected
PLC. We will select the major hardware and software components that will b
e used to assemble the PLC. We will explore how to perform an objective an
alysis using a KT matrix. Attendees will walk away with \;an increased
knowledge on how to properly select components for an application.
 \;  \;
CATEGORIES:Education
UID:20180422T1947120Z-511394-1766@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180425T150000
DTEND;TZID=America/Sao_Paulo:20180425T160000
SUMMARY:Learn How to Build Your Own Internet Connected PLC - Day 3
DESCRIPTION:Programmable Logic Controllers (PLC’s) are the foundation for m
anufacturing and the industrial IoT. Although many developers are probably
used to purchasing off-the-shelf PLC controllers\, it is possible to buil
d a simple low-cost PLC controller using microcontroller components. In th
is CEC course\, we will explore PLC fundamentals and how you can create yo
ur own internet connected PLC controller using an STM32 microcontroller. B
y attending this course you will: Learn the fundamentals around PLCs Under
stand the steps necessary to design an internet connected PLC and how to p
roperly select components for an app Examine the underlying details on how
to create an internet connected PLC Setup a software project and the majo
r library components necessary to start assembling the PLC Learn how to te
st a PLC application that controls several I/O devices over the internet t
hrough a mobile device Examine Wi-Fi communication and how-to setup the Wi
-Fi capabilities of our internet connected PLC Get the major points that y
ou need to take-a-way and implement a PLC in your development cycle Not ab
le to make the live event? Register anyway - You can listen when it's conv
enient for you. The lectures will be available on-demand immediately after
the live class ends. Kindest regards\, Design News CEC Team April 25 – Da
y 3 – PLC Software Design Part 1 In this session\, attendees will examine
the underlying details on how to create an internet connected PLC. We will
setup a software project and the major library components necessary to st
art assembling the PLC. We will examine the low-level drivers and middlewa
re necessary to get the PLC up and running.
X-ALT-DESC;FMTTYPE=text/html:Programmable
Logic Controllers (PLC&rsquo\;s) are the foundatio
n for manufacturing and the industrial IoT. Although many developers are p
robably used to purchasing off-the-shelf \;PLC
 \;controllers\, it is possible to build a simple low-cost \;PLC \;controller using microcontroller components.<
/p> In this \;CEC
span> \;course\, we will explore \;PLC&nbs
p\;fundamentals and how you can create your own internet connected \;<
span class='il'>PLC \;controller using an STM32 microcontroller
. By attending this course you will:
- Learn the fundamentals aroun
d \;PLCs
- Understand the steps necess
ary to \;design \;an internet connected&nb
sp\;PLC \;and how to properly select component
s for an app
- Examine the underlying details on how to create an
internet connected \;PLC
- Setup a sof
tware project and the major library components necessary to start assembli
ng the \;PLC
- Learn how to test a&nbs
p\;PLC \;application that controls several I/O
devices over the internet through a mobile device
- Examine Wi-Fi
communication and how-to setup the Wi-Fi capabilities of our internet con
nected \;PLC
- Get the major points th
at you need to take-a-way and implement a \;PLC \;in your development cycle
Not able to make the live event? Register anyway - You can listen wh
en it's convenient for you. The lectures will be available on-demand immed
iately after the live class ends. Ki
ndest regards\, Design \;News \;CEC \;Team  \;
CATEGORIES:Education
UID:20180422T1949140Z-511394-1767@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180426T150000
DTEND;TZID=America/Sao_Paulo:20180426T160000
SUMMARY:Learn How to Build Your Own Internet Connected PLC - Day 4
DESCRIPTION:Programmable Logic Controllers (PLC’s) are the foundation for m
anufacturing and the industrial IoT. Although many developers are probably
used to purchasing off-the-shelf PLC controllers\, it is possible to buil
d a simple low-cost PLC controller using microcontroller components. In th
is CEC course\, we will explore PLC fundamentals and how you can create yo
ur own internet connected PLC controller using an STM32 microcontroller. B
y attending this course you will: Learn the fundamentals around PLCs Under
stand the steps necessary to design an internet connected PLC and how to p
roperly select components for an app Examine the underlying details on how
to create an internet connected PLC Setup a software project and the majo
r library components necessary to start assembling the PLC Learn how to te
st a PLC application that controls several I/O devices over the internet t
hrough a mobile device Examine Wi-Fi communication and how-to setup the Wi
-Fi capabilities of our internet connected PLC Get the major points that y
ou need to take-a-way and implement a PLC in your development cycle Not ab
le to make the live event? Register anyway - You can listen when it's conv
enient for you. The lectures will be available on-demand immediately after
the live class ends. Kindest regards\, Design News CEC Team April 26 – Da
y 4 – PLC Software Design Part 2 In this session\, attendees will finish c
reating their PLC controller. We’ll explore in this session how to finish
building and testing the PLC. We will examine Wi-Fi communication and how-
to setup the Wi-Fi capabilities of our internet connected PLC.
X-ALT-DESC;FMTTYPE=text/html:Programmable
Logic Controllers (PLC&rsquo\;s) are the foundatio
n for manufacturing and the industrial IoT. Although many developers are p
robably used to purchasing off-the-shelf \;PLC
 \;controllers\, it is possible to build a simple low-cost \;PLC \;controller using microcontroller components.<
/p> In this \;CEC
span> \;course\, we will explore \;PLC&nbs
p\;fundamentals and how you can create your own internet connected \;<
span class='il'>PLC \;controller using an STM32 microcontroller
. By attending this course you will:
- Learn the fundamentals aroun
d \;PLCs
- Understand the steps necess
ary to \;design \;an internet connected&nb
sp\;PLC \;and how to properly select component
s for an app
- Examine the underlying details on how to create an
internet connected \;PLC
- Setup a sof
tware project and the major library components necessary to start assembli
ng the \;PLC
- Learn how to test a&nbs
p\;PLC \;application that controls several I/O
devices over the internet through a mobile device
- Examine Wi-Fi
communication and how-to setup the Wi-Fi capabilities of our internet con
nected \;PLC
- Get the major points th
at you need to take-a-way and implement a \;PLC \;in your development cycle
Not able to make the live event? Register anyway - You can listen wh
en it's convenient for you. The lectures will be available on-demand immed
iately after the live class ends. Ki
ndest regards\, Design \;News \;CEC \;Team - <
header>
In this session\, attendees will finish creating their
PLC controller. We&rsquo\;ll explore in this session how to finish buildi
ng and testing the PLC. We will examine Wi-Fi communication and how-to set
up the Wi-Fi capabilities of our internet connected PLC.
div>  \;  \;
CATEGORIES:Education
UID:20180422T1951070Z-511394-1768@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170622T120000
DTEND;TZID=America/Sao_Paulo:20170622T130000
SUMMARY:Expand Your Business with Microsoft & Advantech IoT Solutions
DESCRIPTION:REGISTER NOW Modern businesses use a multitude of assets to man
age their day to day operations… tools\, machines\, vehicles\, screens\, m
otors\, sensors\, controllers and so on. These 'things' generate considera
ble data\, and the Internet of Things (IoT) represents a new opportunity t
o tap into that data in such a way that businesses can derive new insights
that help create better products\, improve service levels\, reduce operat
ional costs and transform customer relationships. IoT projects can alter t
he business model of traditional industries and embedded markets. But why
now? What's driving this revolution? In this webinar Patrick Ward\, Princi
pal Solution Specialist for IoT at Microsoft\, will explain some of the tr
ends driving IoT. He'll also discuss many of the aspects that organization
s need to consider in developing their IoT and Cloud strategies. Security
considerations\, IoT project management and business model impacts will al
l be discussed. Tze Chiew\, Product Sales Manager at Advantech Europe\, wi
ll give an overview of the Advantech offerings to build and connect your i
ndustrial and embedded systems to Microsoft Azure.
X-ALT-DESC;FMTTYPE=text/html: Modern businesses use a multitude of assets
to manage their day to day operations&hellip\; tools\, machines\, vehicle
s\, screens\, motors\, sensors\, controllers and so on. These 'things' gen
erate considerable data\, and the Internet of Things (IoT) represents a ne
w opportunity to tap into that data in such a way that businesses can deri
ve new insights that help create better products\, improve service levels\
, reduce operational costs and transform customer relationships. I
oT projects can alter the business model of traditional industries and emb
edded markets. But why now? What's driving this revolution? In this webina
r Patrick Ward\, Principal Solution Specialist for IoT at Microsoft\, will
explain some of the trends driving IoT. He'll also discuss many of the as
pects that organizations need to consider in developing their IoT and Clou
d strategies. Security considerations\, IoT project management and busines
s model impacts will all be discussed. Tze Chiew\, Product Sales M
anager at Advantech Europe\, will give an overview of the Advantech offeri
ngs to build and connect your industrial and embedded systems to Microsoft
Azure.
CATEGORIES:Education
UID:20170610T1926380Z-511394-1432@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180427T150000
DTEND;TZID=America/Sao_Paulo:20180427T160000
SUMMARY:Learn How to Build Your Own Internet Connected PLC - Day 4
DESCRIPTION:Programmable Logic Controllers (PLC’s) are the foundation for m
anufacturing and the industrial IoT. Although many developers are probably
used to purchasing off-the-shelf PLC controllers\, it is possible to buil
d a simple low-cost PLC controller using microcontroller components. In th
is CEC course\, we will explore PLC fundamentals and how you can create yo
ur own internet connected PLC controller using an STM32 microcontroller. B
y attending this course you will: Learn the fundamentals around PLCs Under
stand the steps necessary to design an internet connected PLC and how to p
roperly select components for an app Examine the underlying details on how
to create an internet connected PLC Setup a software project and the majo
r library components necessary to start assembling the PLC Learn how to te
st a PLC application that controls several I/O devices over the internet t
hrough a mobile device Examine Wi-Fi communication and how-to setup the Wi
-Fi capabilities of our internet connected PLC Get the major points that y
ou need to take-a-way and implement a PLC in your development cycle Not ab
le to make the live event? Register anyway - You can listen when it's conv
enient for you. The lectures will be available on-demand immediately after
the live class ends. Kindest regards\, Design News CEC Team April 27 – Da
y 5 – PLC Application Design In this session we will setup a test PLC appl
ication that controls several I/O devices over the internet through a mobi
le device. Developers will get to design their very own PLC application. W
e will then review the major points that developers need to take-a-way and
implement a PLC in their development cycle.
X-ALT-DESC;FMTTYPE=text/html: Programmable
Logic Controllers (PLC&rsquo\;s) are the foundatio
n for manufacturing and the industrial IoT. Although many developers are p
robably used to purchasing off-the-shelf \;PLC
 \;controllers\, it is possible to build a simple low-cost \;PLC \;controller using microcontroller components.<
/p> In this \;CEC
span> \;course\, we will explore \;PLC&nbs
p\;fundamentals and how you can create your own internet connected \;<
span class='il'>PLC \;controller using an STM32 microcontroller
. By attending this course you will:
- Learn the fundamentals aroun
d \;PLCs
- Understand the steps necess
ary to \;design \;an internet connected&nb
sp\;PLC \;and how to properly select component
s for an app
- Examine the underlying details on how to create an
internet connected \;PLC
- Setup a sof
tware project and the major library components necessary to start assembli
ng the \;PLC
- Learn how to test a&nbs
p\;PLC \;application that controls several I/O
devices over the internet through a mobile device
- Examine Wi-Fi
communication and how-to setup the Wi-Fi capabilities of our internet con
nected \;PLC
- Get the major points th
at you need to take-a-way and implement a \;PLC \;in your development cycle
Not able to make the live event? Register anyway - You can listen wh
en it's convenient for you. The lectures will be available on-demand immed
iately after the live class ends. Ki
ndest regards\, Design \;News \;CEC \;Team  \;
CATEGORIES:Education
UID:20180422T1952460Z-511394-1769@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170925T150000
DTEND;TZID=America/Sao_Paulo:20170925T160000
SUMMARY:Introduction to Software Defined Radio (SDR) – Hands on - Day 1
DESCRIPTION:Nothing has revolutionized radio communications in recent histo
ry like Software Defined Radio or SDR. Based on the simple principle of sa
mpling RF energy with an analog to digital converter (ADC) and letting sof
tware perform tuning\, selectivity\, and demodulation\, SDR is a complex b
east that takes a good understanding of sampling\, transforms\, and RF pri
nciples. In this week’s course\, we will look at the basics of receiver de
sign\, the principles and practice of SDR\, and use a very inexpensive SDR
module to get some hands-on appreciation and practice in performing our o
wn SDR reception. We will then look at the high-performance circuits and m
ethods used in today’s state of the art SDR for commercial and military co
mmunications. September 25 – Day 1 – Intro to SDR In this lead-off class
\, we will look at a brief history of software defined radio (SDR) and the
basic building blocks and typical applications that have made this the fa
stest growing advance in radio receiving technology since the phase-locked
loop.
X-ALT-DESC;FMTTYPE=text/html: Nothing has revolutionized radio communications in recen
t history like Software Defined Radio or SDR. Based on the simple principl
e of sampling RF energy with an analog to digital converter (ADC) and lett
ing software perform tuning\, selectivity\, and demodulation\, SDR is a co
mplex beast that takes a good understanding of sampling\, transforms\, and
RF principles. In this week&rsquo\;s course\, we will look at the basic
s of receiver design\, the principles and practice of SDR\, and use a very
inexpensive SDR module to get some hands-on appreciation and practice in
performing our own SDR reception. We will then look at the high-performanc
e circuits and methods used in today&rsquo\;s state of the art SDR for com
mercial and military communications. - September 25 &ndash\; Day 1 &ndash\;
Intro to SDR
 \; In this lead-off class\, we will look at a brief history of software d
efined radio (SDR) and the basic building blocks and typical applications
that have made this the fastest growing advance in radio receiving technol
ogy since the phase-locked loop.
CATEGORIES:Education
UID:20170923T2225050Z-511394-1554@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170926T150000
DTEND;TZID=America/Sao_Paulo:20170926T160000
SUMMARY:Introduction to Software Defined Radio (SDR) – Hands on - Day 2
DESCRIPTION:Nothing has revolutionized radio communications in recent histo
ry like Software Defined Radio or SDR. Based on the simple principle of sa
mpling RF energy with an analog to digital converter (ADC) and letting sof
tware perform tuning\, selectivity\, and demodulation\, SDR is a complex b
east that takes a good understanding of sampling\, transforms\, and RF pri
nciples. In this week’s course\, we will look at the basics of receiver de
sign\, the principles and practice of SDR\, and use a very inexpensive SDR
module to get some hands-on appreciation and practice in performing our o
wn SDR reception. We will then look at the high-performance circuits and m
ethods used in today’s state of the art SDR for commercial and military co
mmunications. September 26 – Day 2 – RF and Radio Basics To better prepare
for the remainder of the week’s classes\, we will take a day to learn (or
review) the basics of RF and radio receiver design. We will look at front
-end amplifiers\, mixers\, and demodulation methods in the traditional sup
erheterodyne receiver and how it contrasts to SDR methods.
X-ALT-DESC;FMTTYPE=text/html: Nothing has revolutionized radio communications in recen
t history like Software Defined Radio or SDR. Based on the simple principl
e of sampling RF energy with an analog to digital converter (ADC) and lett
ing software perform tuning\, selectivity\, and demodulation\, SDR is a co
mplex beast that takes a good understanding of sampling\, transforms\, and
RF principles. In this week&rsquo\;s course\, we will look at the basic
s of receiver design\, the principles and practice of SDR\, and use a very
inexpensive SDR module to get some hands-on appreciation and practice in
performing our own SDR reception. We will then look at the high-performanc
e circuits and methods used in today&rsquo\;s state of the art SDR for com
mercial and military communications. -
To better prepare for the remainder of the week&rsqu
o\;s classes\, we will take a day to learn (or review) the basics of RF an
d radio receiver design. We will look at front-end amplifiers\, mixers\, a
nd demodulation methods in the traditional superheterodyne receiver and ho
w it contrasts to SDR methods.
CATEGORIES:Education
UID:20170923T2226400Z-511394-1555@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170927T150000
DTEND;TZID=America/Sao_Paulo:20170927T160000
SUMMARY:Introduction to Software Defined Radio (SDR) – Hands on - Day 3
DESCRIPTION:Nothing has revolutionized radio communications in recent histo
ry like Software Defined Radio or SDR. Based on the simple principle of sa
mpling RF energy with an analog to digital converter (ADC) and letting sof
tware perform tuning\, selectivity\, and demodulation\, SDR is a complex b
east that takes a good understanding of sampling\, transforms\, and RF pri
nciples. In this week’s course\, we will look at the basics of receiver de
sign\, the principles and practice of SDR\, and use a very inexpensive SDR
module to get some hands-on appreciation and practice in performing our o
wn SDR reception. We will then look at the high-performance circuits and m
ethods used in today’s state of the art SDR for commercial and military co
mmunications. September 27 – Day 3 – Exploring SDR with the RTL-SDR\, Part
1 In our third class\, we will begin our ‘hands-on’ lab using the Adafrui
t Industries LLC 1497 RTL2832 with R820T Stick Kit. We will look at the or
igins of the RTL2832 and R820T chips and how the common radio dongle works
and some of the forms that it is available in. We will then look at some
of therepositories for common open-source code for using the receiver with
a Windows PC and carry out some experiments in receiving common broadcast
signals.
X-ALT-DESC;FMTTYPE=text/html: Nothing has revolutionized radio communications in recen
t history like Software Defined Radio or SDR. Based on the simple principl
e of sampling RF energy with an analog to digital converter (ADC) and lett
ing software perform tuning\, selectivity\, and demodulation\, SDR is a co
mplex beast that takes a good understanding of sampling\, transforms\, and
RF principles. In this week&rsquo\;s course\, we will look at the basic
s of receiver design\, the principles and practice of SDR\, and use a very
inexpensive SDR module to get some hands-on appreciation and practice in
performing our own SDR reception. We will then look at the high-performanc
e circuits and methods used in today&rsquo\;s state of the art SDR for com
mercial and military communications.
CATEGORIES:Education
UID:20170923T2228480Z-511394-1556@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170928T150000
DTEND;TZID=America/Sao_Paulo:20170928T160000
SUMMARY:Introduction to Software Defined Radio (SDR) – Hands on - Day 4
DESCRIPTION:Nothing has revolutionized radio communications in recent histo
ry like Software Defined Radio or SDR. Based on the simple principle of sa
mpling RF energy with an analog to digital converter (ADC) and letting sof
tware perform tuning\, selectivity\, and demodulation\, SDR is a complex b
east that takes a good understanding of sampling\, transforms\, and RF pri
nciples. In this week’s course\, we will look at the basics of receiver de
sign\, the principles and practice of SDR\, and use a very inexpensive SDR
module to get some hands-on appreciation and practice in performing our o
wn SDR reception. We will then look at the high-performance circuits and m
ethods used in today’s state of the art SDR for commercial and military co
mmunications. September 28 – Day 4 – Exploring SDR with the RTL-SDR\, Part
2 For our penultimate class\, we will build on our experience from the pr
evious class and will see how we can write our own code and make our own b
asic ‘frequency-agile’ communications devices. We will also look at the di
fferent ways of accomplishing frequency discrimination and demodulation in
preparation for the design criteria we will look at in our final class.
X-ALT-DESC;FMTTYPE=text/html: Nothing has revolutionized radio communications in recen
t history like Software Defined Radio or SDR. Based on the simple principl
e of sampling RF energy with an analog to digital converter (ADC) and lett
ing software perform tuning\, selectivity\, and demodulation\, SDR is a co
mplex beast that takes a good understanding of sampling\, transforms\, and
RF principles. In this week&rsquo\;s course\, we will look at the basic
s of receiver design\, the principles and practice of SDR\, and use a very
inexpensive SDR module to get some hands-on appreciation and practice in
performing our own SDR reception. We will then look at the high-performanc
e circuits and methods used in today&rsquo\;s state of the art SDR for com
mercial and military communications.
CATEGORIES:Education
UID:20170923T2230430Z-511394-1557@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170927T150000
DTEND;TZID=America/Sao_Paulo:20170927T160000
SUMMARY:Medical Device and Pharmaceutical Testing Regulatory Updates\, Tren
ds\, and Anticipated Changes including: FDA\, ISO\, USP\, and MDRs - DAY 2
DESCRIPTION:This three-day course will provide engineers and QA/QC/RA manag
ers with an overview of medical device and pharmaceutical industry trends\
, updates\, and anticipated changes. Four major topics will be covered in
three days\, including: Biocompatibility assessments\, Sterilization metho
dologies\, Packaging integrity testing Medical device cleanliness testing.
Register Now
X-ALT-DESC;FMTTYPE=text/html: This three-day course will provide engineer
s and QA/QC/RA managers with an overview of medical device and pharmaceuti
cal industry trends\, updates\, and anticipated changes. Four major topics
will be covered in three days\, including: - Biocompatibilit
y assessments\,
- Sterilization methodologies\,
- Packagin
g integrity testing
- Medical device cleanliness testing.
ul>  \; Register Now
CATEGORIES:Education
UID:20170923T2253390Z-511394-1563@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170929T150000
DTEND;TZID=America/Sao_Paulo:20170929T160000
SUMMARY:Introduction to Software Defined Radio (SDR) – Hands on - Day 5
DESCRIPTION:Nothing has revolutionized radio communications in recent histo
ry like Software Defined Radio or SDR. Based on the simple principle of sa
mpling RF energy with an analog to digital converter (ADC) and letting sof
tware perform tuning\, selectivity\, and demodulation\, SDR is a complex b
east that takes a good understanding of sampling\, transforms\, and RF pri
nciples. In this week’s course\, we will look at the basics of receiver de
sign\, the principles and practice of SDR\, and use a very inexpensive SDR
module to get some hands-on appreciation and practice in performing our o
wn SDR reception. We will then look at the high-performance circuits and m
ethods used in today’s state of the art SDR for commercial and military co
mmunications. September 29 – Day 5 – Commercial SDR Designs In our final c
lass\, we will begin to look at how a commercial design can spring from th
e basic building blocks that we have investigated and experimented with th
is week. We will look at some common commercial SDR designs and applicatio
ns as well as some of the test challenges we meet in the designand testing
of SDR systems. We will also look at some future projects that we can acc
omplish with our simple lab dongle for further learning.
X-ALT-DESC;FMTTYPE=text/html:Nothing has revolutionized radio communications in recen
t history like Software Defined Radio or SDR. Based on the simple principl
e of sampling RF energy with an analog to digital converter (ADC) and lett
ing software perform tuning\, selectivity\, and demodulation\, SDR is a co
mplex beast that takes a good understanding of sampling\, transforms\, and
RF principles. In this week&rsquo\;s course\, we will look at the basic
s of receiver design\, the principles and practice of SDR\, and use a very
inexpensive SDR module to get some hands-on appreciation and practice in
performing our own SDR reception. We will then look at the high-performanc
e circuits and methods used in today&rsquo\;s state of the art SDR for com
mercial and military communications. - In our final cla
ss\, we will begin to look at how a commercial design can spring from the
basic building blocks that we have investigated and experimented with this
week. We will look at some common commercial SDR designs and applications
as well as some of the test challenges we meet in the designand testing o
f SDR systems. We will also look at some future projects that we can accom
plish with our simple lab dongle for further learning.
CATEGORIES:Education
UID:20170923T2232260Z-511394-1558@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170928T120000
DTEND;TZID=America/Sao_Paulo:20170928T130000
SUMMARY:Building Next-Gen\, Low-Power Bluetooth Low Energy (BLE) Solutions
for the IoT: Part 1
DESCRIPTION:Summary Get solutions to your embedded system design challenges
for firmware/embedded software development\, power enhancement\, (stretch
ing battery life\, optimizing power for performance\, etc.)\, security and
more with new BLE technology. Alan Hawse (@iotexpert) and Michi Yoneda
have teamed up to walk you through a technical discussion and a series of
hands-on lessons focused on enabling you to create next generation\, low-p
ower BLE solutions for the IoT. Join us for this two-part series to lear
n about: The architectural trade-offs between application processors and t
raditional microcontrollers for IoT applications Best practices and needs
in today’s and tomorrow’s IoT applications Developing next-generation IoT
applications with Cypress’ PSoC 6 MCUs with BLE connectivity Adding CapSen
se capacitive-sensing to your next IoT application And more… REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:Summary
strong> Get solutions to your embedded system design challenges fo
r firmware/embedded software development\, power enhancement\, (stretching
battery life\, optimizing power for performance\, etc.)\, security and mo
re with new BLE technology.  \; Alan Hawse (@iotexpert
) and Michi Yoneda have teamed up to walk you through a technical discussi
on and a series of hands-on lessons focused on enabling you to cre
ate next generation\, low-power BLE solutions for the IoT. <
p> \; Join us for this two-part series to learn about: - The architectural trade-offs between application processors and tr
aditional microcontrollers for IoT applications
- Best practices a
nd needs in today&rsquo\;s and tomorrow&rsquo\;s IoT applications
Developing next-generation IoT applications with Cypress&rsquo\; PSoC 6
MCUs with BLE connectivity - Adding CapSense capacitive-sensing to
your next IoT application
- And more&hellip\;
REGIS
TER NOW
CATEGORIES:Education
UID:20170923T2236140Z-511394-1559@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170926T150000
DTEND;TZID=America/Sao_Paulo:20170926T160000
SUMMARY:Mobile IoT (LPWA) for Asset Tracking
DESCRIPTION:Tracking mobile assets presents unique challenges. Assets\, ran
ging from a pallet to a truckload to a piece of equipment\, can be stored
over long periods of time and moved over long distances through remote loc
ations. The application requires economical\, low-power connectivity to be
practical and effective. This webinar will cover customer challenges\, re
quirements\, cost issues\, and specific benefits of the Cat M1 (LTE M) cel
lular technology. Register Now
X-ALT-DESC;FMTTYPE=text/html: Tracking mobile assets presen
ts unique challenges. Assets\, ranging from a pallet to a truckload to a p
iece of equipment\, can be stored over long periods of time and moved over
long distances through remote locations. The application requires economi
cal\, low-power connectivity to be practical and effective.
<
a style='text-decoration: none\; font-weight: bold\; color: #763437\;' hre
f='http://go.questexweb.com/I0S03M50QpTKue1y0P0QF0f' target='_blank' data-
saferedirecturl='https://www.google.com/url?hl=pt-BR&\;q=http://go.ques
texweb.com/I0S03M50QpTKue1y0P0QF0f&\;source=gmail&\;ust=150628889111
6000&\;usg=AFQjCNH-6X13gcdeKYzyOU6Oek2CzCZjbg'>This webinar will co
ver customer challenges\, requirements\, cost issues\, and specific benefi
ts of the Cat M1 (LTE M) cellular technology.
CATEGORIES:Education
UID:20170923T2242520Z-511394-1560@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170928T140000
DTEND;TZID=America/Sao_Paulo:20170928T150000
SUMMARY:Industrial ultrafast fiber lasers achieve sub-150 fs pulse duration
: focus on precision micromachining
DESCRIPTION:What You'll Learn: • Why you should move to true femtosecond ma
chining • An overview of relevant materials and processes • Ablation thres
hold vs. pulse duration • Examples of femtosecond sources • Example applic
ations REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html: What You'll
Learn: <
td valign='top' width='8'>&bull\; Why you should move to true femtosecond machining
| &bull\; | An overview of relevant materials and processes | &bull\; | Ablation threshold vs. pulse duration | &bull\; | Examples of femtosecon
d sources | &bull\; | Example applications |  \; <
table class='m_1071249797370098833responsive-table' style='width: 170px\;
background-color: red\; border-radius: 4px\; border-bottom: 2px solid red\
;' border='0' summary='' cellspacing='0' cellpadding='0'>
| REGISTER HERE! |
tr> &n
bsp\;
CATEGORIES:Education
UID:20170923T2246000Z-511394-1561@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170928T150000
DTEND;TZID=America/Sao_Paulo:20170928T160000
SUMMARY:Medical Device and Pharmaceutical Testing Regulatory Updates\, Tren
ds\, and Anticipated Changes including: FDA\, ISO\, USP\, and MDRs - DAY 3
DESCRIPTION:This three-day course will provide engineers and QA/QC/RA manag
ers with an overview of medical device and pharmaceutical industry trends\
, updates\, and anticipated changes. Four major topics will be covered in
three days\, including: Biocompatibility assessments\, Sterilization metho
dologies\, Packaging integrity testing Medical device cleanliness testing.
Register Now
X-ALT-DESC;FMTTYPE=text/html: This three-day course will provide engineer
s and QA/QC/RA managers with an overview of medical device and pharmaceuti
cal industry trends\, updates\, and anticipated changes. Four major topics
will be covered in three days\, including: - Biocompatibilit
y assessments\,
- Sterilization methodologies\,
- Packagin
g integrity testing
- Medical device cleanliness testing.
ul>  \; Register Now
CATEGORIES:Education
UID:20170923T2254110Z-511394-1564@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171018T150000
DTEND;TZID=America/Sao_Paulo:20171018T160000
SUMMARY:Deep UV LEDs—Enabling Innovations in Disinfection
DESCRIPTION:The desire for healthier living\, cleaner environments and acce
ss to microbiologically safe drinking water is a persistent and growing ne
ed. Trends around the increase of antibiotic resistant superbugs and popul
ation growth\, expansion and aging demand new disinfection methods for ens
uring community safety. Integration of disinfection with deep UV LEDs offe
r OEMs the opportunity to address these trends with proven\, reliable tech
nology. This webinar for R&D departments and design engineers will cover:
Market trends and how deep UV LEDs can enable product innovation The top 4
things to know to about designing with deep UV LEDs Register Now
X-ALT-DESC;FMTTYPE=text/html: The desire for healthier living\, cleaner environments and acces
s to microbiologically safe drinking water is a persistent and growing nee
d. Trends around the increase of antibiotic resistant superbugs and popula
tion growth\, expansion and aging demand new disinfection methods for ensu
ring community safety. Integration of disinfection with deep UV LEDs offer
OEMs the opportunity to address these trends with proven\, reliable techn
ology. This webina
r for R&\;D departments and design engineers will cover:
p> - Market trends and how deep UV LEDs can enable product innova
tion
- The top 4 things to know to about designing with deep UV LE
Ds
|
CATEGORIES:Education
UID:20170923T2324100Z-511394-1565@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171019T120000
DTEND;TZID=America/Sao_Paulo:20171019T130000
SUMMARY:Introduction to Electronic Circuit Simulation Using MPLAB® Mindi™ A
nalog Simulator
DESCRIPTION: Electronic circuit simulation can be very effective in test
ing a design under different operating conditions. This can help to make s
horter and more efficient design cycles resulting in faster transitions fr
om prototype to market. Simulations can also help to prevent hardware dama
ge due to fatal design errors. In this webinar\, we will introduce MPLAB®
Mindi™ Analog Simulator and provide a good starting point for electronic c
ircuit simulations. Speakers: Md Khan\, Analog Applications Engineer\, M
icrochip Moderator: Rich Nass\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; Electronic circuit simulation can be very effective in
testing a design under different operating conditions. This can help to ma
ke shorter and more efficient design cycles resulting in faster transition
s from prototype to market. Simulations can also help to prevent hardware
damage due to fatal design errors. In this webinar\, we will introduce MPL
AB®\; Mindi&trade\; Analog Simulator and provide a good starting point
for electronic circuit simulations.  \; | Speakers: Md Khan\, Analog Applica
tions Engineer\, Microchip Modera
tor: \; Rich Nass\, OpenSystems Media |
|  \;
CATEGORIES:Education
UID:20170923T2326330Z-511394-1566@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171011T140000
DTEND;TZID=America/Sao_Paulo:20171011T150000
SUMMARY:Increase RF and Microwave Test Efficiency and Throughput
DESCRIPTION: Why this webcast is important Do you need to improve the test
efficiency and throughput of your RF and microwave designs? This webcast
will demonstrate how to select and configure your system with the optimal
microwave test accessories to help you increase output\, build a fast and
accurate design validation test\, improve noise figure measurements\, and
accelerate overall throughput resulting in a lower cost of test. Who sho
uld attend Engineers\, technicians\, and managers. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
 \; Why this webcast is important Do you ne
ed to improve the test efficiency and throughput of your RF and microwave
designs? This webcast will demonstrate how to select and configure your sy
stem with the optimal microwave test accessories to help you increase outp
ut\, build a fast and accurate design validation test\, improve noise figu
re measurements\, and accelerate overall throughput resulting in a lower c
ost of test.  \; Who should attend Engineers\, technicians\, and managers. REGISTER NOW
a>
CATEGORIES:Education
UID:20170923T2329080Z-511394-1567@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170926T150000
DTEND;TZID=America/Sao_Paulo:20170926T160000
SUMMARY:“When is the best time to move ERP to the cloud?”
DESCRIPTION:Here is more about the Webcast: The time has come\, it is said
\, for small to midsize enterprises (SMEs) to move their ERP systems to th
e cloud. But why they should do so now\, and what it will mean for users\,
are two very good questions. Companies make the move to save money\, to s
treamline upgrades and guarantee future flexibility. This webcast will loo
k at the benefits\, challenges and trade-offs for SMEs that want infrastru
cture and enterprise applications to support the business without strainin
g non-essential resources. Learning Objectives: What cloud modalities me
an for enterprise systems Who mobility impacts business operations How to
profit from the enterprise’s growing insight into operations. Speakers:
John Preiditsch\, President\, Six S Partners Jonathan Gross\, LL.B.\, M.B.
A.\, Managing Director\, Pemeco Consulting Moderator: Kevin Parker\, Seni
or Contributing Editor\, CFE Media Click here to register today!
X-ALT-DESC;FMTTYPE=text/html:Here is more about the Webcast: \; The time has come\, it is said\, for small to midsize
enterprises (SMEs) to move their ERP systems to the cloud. But why they sh
ould do so now\, and what it will mean for users\, are two very good quest
ions. Companies make the move to save money\, to streamline upgrades and g
uarantee future flexibility. This webcast will look at the benefits\, chal
lenges and trade-offs for SMEs that want infrastructure and enterprise app
lications to support the business without straining non-essential resource
s. \; Learning Objectives: \;
p> - What cloud modalities mean for enterprise systems
<
li>W
ho mobility impacts business operations - How to profit from th
e enterprise&rsquo\;s growing insight into operations.
Speakers: \; \; - John Preiditsch\, President\, Six S Pa
rtners
- Jonathan Gross\, LL.B.\, M.B.A.\, Managing Director\,
Pemeco Consulting \;
Moderator: \;Kevin Parker\, Senior Contributing Edi
tor\, CFE Media \; Click here
to register today!
CATEGORIES:Education
UID:20170923T2333370Z-511394-1568@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171005T150000
DTEND;TZID=America/Sao_Paulo:20171005T160000
SUMMARY:Reducing Downtime and Eliminating Cable Failure
DESCRIPTION:Summary Cable failure is an all-too-common cause of unplanned d
owntime across a range of industries and applications. In moving applicati
ons\, these cable failures can be attributed to a few common causes. Join
Product Specialist Tim Marran to uncover these common causes\, and how a f
ew simple changes to design and installation can make the difference betwe
en thousands and millions of cable lifetime cycles. During this webinar\
, you will: Discover common causes of cable failure in dynamic application
s Learn how cable selection can eliminate unexpected downtimes\, reduce re
pair costs\, and increase productivity See how cable design and installati
on directly effects service life and performance Register today!
X-ALT-DESC;FMTTYPE=text/html:Summary Cable failure is
an all-too-common cause of unplanned downtime across a range of industrie
s and applications. In moving applications\, these cable failures can be a
ttributed to a few common causes. Join Product Specialist Tim Marran to un
cover these common causes\, and how a few simple changes to design and ins
tallation can make the difference between thousands and millions of cable
lifetime cycles.  \; During this webinar\, you will:
p> - Discover common causes of cable failure in dynamic applicati
ons
- Learn how cable selection can eliminate unexpected downtimes
\, reduce repair costs\, and increase productivity
- See how cable
design and installation directly effects service life and performance
Register today!
CATEGORIES:Education
UID:20170923T2335200Z-511394-1569@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171004T140000
DTEND;TZID=America/Sao_Paulo:20171004T150000
SUMMARY:Stop Wasting Time and Money by Struggling with Data Analytics While
Designing T&M Experiments!
DESCRIPTION:Why this webcast is important Data analytics can play a valuabl
e role in the T&M world by accelerating design simulation\, analysis\, val
idation\, compliance testing and manufacturing. Effective data analytics t
ools can help engineers improve their design of experiments and reduce the
design cycle time - ultimately saving money and enabling your team to get
to market faster. This webcast explains how modern visualization tools
provide critical insights and accelerate new designs. Who should attend
Chip\, board\, and systems design engineers and executives. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:
Why this webcast is important Data analytics can play a valuable role in the T&
\;M world by accelerating design simulation\, analysis\, validation\, comp
liance testing and manufacturing. Effective data analytics tools can help
engineers improve their design of experiments and reduce the design cycle
time - ultimately saving money and enabling your team to get to market fas
ter.  \; This webcast explains how modern visualizatio
n tools provide critical insights and accelerate new designs. &nbs
p\; <
strong>Who should attend Chip\, board\, and systems
design engineers and executives. REGISTER NOW
CATEGORIES:Education
UID:20170923T2339250Z-511394-1570@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170928T130000
DTEND;TZID=America/Sao_Paulo:20170928T140000
SUMMARY:Technologies Behind Achieving Gigabit LTE
DESCRIPTION:There’s a lot of buzz right now around LTE-Advanced Pro and 5G\
, and how companies can achieve 1Gbps. When designing IoT devices that req
uire high speed and performance\, it’s important to understand how LTE-A P
ro and unlicensed spectrums pave the road to 5G. In this free webinar\, at
tendees will learn how: Unlicensed spectrum (LAA) gives faster data rates
and more responsive user experience. Gigabit class LTE and CBRS spectrum a
re being used today. LTE-A Pro sets a great foundation to 5G. Register Tod
ay
X-ALT-DESC;FMTTYPE=text/html:There&rsquo\;s a lot of buzz right now arou
nd LTE-Advanced Pro and 5G\, and how companies can achieve 1Gbps. When des
igning IoT devices that require high speed and performance\, it&rsquo\;s i
mportant to understand how LTE-A Pro and unlicensed spectrums pave \;t
he road to 5G. In this free webinar\, attendees will learn ho
w: - Unlicensed spectrum (LAA) gives faster data rates and mo
re responsive user experience.
- Gigabit class LTE and CBRS spectr
um are being used today.
- LTE-A Pro sets a great foundation to 5G
.
Register Today
a>
CATEGORIES:Education
UID:20170923T2341570Z-511394-1571@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170926T130000
DTEND;TZID=America/Sao_Paulo:20170926T140000
SUMMARY:Overcoming the Measurement Challenges of Laser Diode and Opto Compo
nent Testing
DESCRIPTION:Summary The development and production of optoelectronics devic
es such as laser diodes is accelerating both due to a rising number 3D sen
sing applications such as augmented reality\, gaming\, and autonomous driv
ing\, as well as the proliferation of telecommunication technologies like
WDM\, DWDM\, CWDM\, PSM4\, and PSM8. This webinar covers typical LIV measu
rement of VCSELs and Laser Diode modules and explores the challenges assoc
iated with highly synchronized pulse testing to achieve electrical measure
ment efficiency\, thermal management and increased throughput. Attendees w
ill learn: Typical LIV measurement of laser diode modules Instrumentation
for pulse driving laser diode\, detecting and capturing light power\, and
managing temperature Challenges and implications to achieve measurement in
tegrity\, throughput and efficiency REGISTER
X-ALT-DESC;FMTTYPE=text/html: The development and production of optoelectronics
devices such as laser diodes is accelerating both due to a rising number
3D sensing applications such as augmented reality\, gaming\, and autonomou
s driving\, as well as the proliferation of telecommunication technologies
like WDM\, DWDM\, CWDM\, PSM4\, and PSM8. This webinar covers typical LIV
measurement of VCSELs and Laser Diode modules and explores the challenges
associated with highly synchronized pulse testing to achieve electrical m
easurement efficiency\, thermal management and increased throughput.
Attendees will learn: - Typical LIV measurement of laser diode modules
- Instrumentation for pulse driving laser diode\, dete
cting and capturing light power\, and managing temperature
- Challenges and implications to achieve measurement
integrity\, throughput and efficiency
REGISTER
a>
 \;
CATEGORIES:Education
UID:20170923T2348560Z-511394-1573@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170922T150000
DTEND;TZID=America/Sao_Paulo:20170922T160000
SUMMARY:Reducing Risk of Safety Critical Systems\, Looking at Compliance fo
r MISRA C 2012
DESCRIPTION:Safety-critical systems require some of the most stringent\, ti
me-consuming and expensive development and testing processes. Increasing f
unctionality and connectivity has even driven up test and certification co
sts. During this webinar\, we will be discussing some of the challenges to
certification and ways that process and tools can reduce the cost and imp
rove safety\, security and reliability for embedded devices. Specifically\
, we will discuss ways to reduce cost related to compliance with MISRA C 2
012 guidelines. During this webinar we will look at the following Monitori
ng compliance throughout the entire development cycle Reducing MISRA compl
iance costs and risk by streamlining developer and management workflow MIS
RA C 2012 Guideline Enforcement Plan and an example of a Deviation Record
Additional security guidelines to MISRA Extending with local coding standa
rds Please join us September 22nd as we start with a focus on MISRA C 2012
. We will share with you best practices as well as highlight how Parasoft
is helping customers deal with several aspects of the certification proces
s. Parasoft has an industry-leading embedded testing solution along with r
eporting and analytics technology to help all members of the team\, includ
ing management\, with precision metrics and project reports to help the te
am focus on what matters when it comes to certification. REGISTER NOW FO
R WEBCAST 1 OR ALL 3 EVENTS
X-ALT-DESC;FMTTYPE=text/html:Safety-critic
al systems require some of the most stringent\, time-consuming and expensi
ve development and testing processes. Increasing functionality and connect
ivity has even driven up test and certification costs. During this webinar
\, we will be discussing some of the challenges to certification and ways
that process and tools can reduce the cost and improve safety\, security a
nd reliability for embedded devices. Specifically\, we will discuss ways t
o reduce cost related to compliance with MISRA C 2012 guidelines. During t
his webinar we will look at the following - Monitoring compliance throughout the entire development cycle
- Reducing MISRA compliance costs and risk by streamlining develo
per and management workflow
- MISRA C 2012 Guideline Enforcement P
lan and an example of a Deviation Record
- Additional security gui
delines to MISRA
- Extending with local coding standards
Please join us September 22nd as we s
tart with a focus on MISRA C 2012. We will share with you best practices a
s well as highlight how Parasoft is helping customers deal with several as
pects of the certification process. Parasoft has an industry-leading embed
ded testing solution along with reporting and analytics technology to help
all members of the team\, including management\, with precision metrics a
nd project reports to help the team focus on what matters when it comes to
certification.  \; REGISTER NOW FOR WEBCAST 1 OR ALL 3 EVENTS
CATEGORIES:Education
UID:20170908T2220510Z-511394-1544@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171017T130000
DTEND;TZID=America/Sao_Paulo:20171017T140000
SUMMARY:Addressing ISO 26262 Compliance in ADAS or Autonomous Vehicles
DESCRIPTION:Compliance for next-generation ADAS and autonomous embedded sys
tems can be a challenge for software development teams who are new to safe
ty certifications and pressed for time due to consumer demands. During thi
s webinar\, we will be discussing some of the challenges and ways that pro
cess and tools can reduce the cost of compliance for embedded devices. Dur
ing this webinar we will look at the following Unit Testing automation for
ISO 26262 compliance Addressing complexity in applications under test Mer
ging of Code Coverage from executed test cases and correlating it with Uni
t\, Integration and System level tests Requirements traceability Please jo
in us October 17th as we discuss how Parasoft can help safety critical dev
elopment teams confronting ISO 26262. We will share with you some of our b
est practices and highlight how Parasoft is helping customers deal with se
veral aspects of the process. Parasoft has an industry-leading embedded te
sting solution along with reporting and analytics technology to help all m
embers of the team\, including management\, with precision metrics and pro
ject reports to help the team focus on what matters when it comes to ISO 2
6262. REGISTER NOW FOR WEBCAST 2 OR ALL 3 EVENTS
X-ALT-DESC;FMTTYPE=text/html:Compliance for next-generation ADAS and auton
omous embedded systems can be a challenge for software development teams w
ho are new to safety certifications and pressed for time due to consumer d
emands. During this webinar\, we will be discussing some of the challenges
and ways that process and tools can reduce the cost of compliance for emb
edded devices. During this webinar we will look at the following
- Unit Testing automation for ISO 26262 compliance
- Addressin
g complexity in applications under test
- Merging of Code Coverage
from executed test cases and correlating it with Unit\, Integration and S
ystem level tests
- Requirements traceability
Plea
se join us October 17th as we discuss how Parasoft can help safety critica
l development teams confronting ISO 26262. We will share with you some of
our best practices and highlight how Parasoft is helping customers deal wi
th several aspects of the process. Parasoft has an industry-leading embedd
ed testing solution along with reporting and analytics technology to help
all members of the team\, including management\, with precision metrics an
d project reports to help the team focus on what matters when it comes to
ISO 26262.  \; REGISTER NOW FOR WEBCAST 2 OR ALL 3 EVENTS
CATEGORIES:Education
UID:20170908T2222480Z-511394-1545@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171115T130000
DTEND;TZID=America/Sao_Paulo:20171115T140000
SUMMARY:Getting to Certification by Transforming your Embedded SDLC
DESCRIPTION:Teams today are expected to ship faster\, with fewer resources\
, yet comply with extremely tough compliance standards and regulations….al
l while developing software that is secure\, safe\, and reliable. Consumer
s expect more\, businesses demand more…and the teams responsible are searc
hing for solutions. During this webinar\, we will be looking at certificat
ion processes for embedded software device development. We will address te
sting a safety critical embedded system but focus on how data and analytic
s can be leveraged to provide insight into smarter ways to develop and tes
t a system in less time. During this webinar we will look at the following
What tests do I have to re-run when code changes? Which code changes have
not been tested? Using analytics to find risky code Reduce time with a fo
cus on change between builds Please join us November 15th as we discuss ho
w Parasoft can help safety critical development teams manage change and sa
ve critical development and testing time. Parasoft has an industry-leading
embedded testing solution along with reporting and analytics technology t
o help all members of the team\, including management\, with precision met
rics and project reports to help the team focus on what matters and reduce
wasted testing efforts. REGISTER NOW FOR WEBCAST 3 OR ALL 3 EVENTS
X-ALT-DESC;FMTTYPE=text/html:Teams today are expected to ship faster\, wit
h fewer resources\, yet comply with extremely tough compliance standards a
nd regulations&hellip\;.all while developing software that is secure\, saf
e\, and reliable. Consumers expect more\, businesses demand more&hellip\;a
nd the teams responsible are searching for solutions. During this webinar\
, we will be looking at certification processes for embedded software devi
ce development. We will address testing a safety critical embedded system
but focus on how data and analytics can be leveraged to provide insight in
to smarter ways to develop and test a system in less time. During this web
inar we will look at the following - What tests do I have to
re-run when code changes?
- Which code changes have not been teste
d?
- Using analytics to find risky code
- Reduce time with
a focus on change between builds
Please join us November
15th as we discuss how Parasoft can help safety critical development teams
manage change and save critical development and testing time. Parasoft ha
s an industry-leading embedded testing solution along with reporting and a
nalytics technology to help all members of the team\, including management
\, with precision metrics and project reports to help the team focus on wh
at matters and reduce wasted testing efforts.  \; REGISTER NOW
FOR WEBCAST 3 OR ALL 3 EVENTS
CATEGORIES:Education
UID:20170908T2224460Z-511394-1546@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170913T110000
DTEND;TZID=America/Sao_Paulo:20170913T120000
SUMMARY:Accelerate development with our Software Development Kit for Power
Architecture MCUs
DESCRIPTION:The Software Development Kit\, included in the S32 Design Studi
o IDE with graphical based configurations features automotive-grade\, docu
mented source code and free of charge software to accelerate the developme
nt with the MPC57xxx family of MCUs. Software stacks and middleware are pr
ovided as part of the total system solution\, such as TCP/IP\, Ethernet AV
B and USB stacks for high speed communication. Join to learn more and star
t your design right away! Featured Speakers: Melanie Werner\, Automotive T
echnical Marketer\, NXP Vlad Lionte\, Automotive Embedded Software Enginee
r\, NXP . 10 AM EDT | Register »
X-ALT-DESC;FMTTYPE=text/html:The Software Development
Kit\, included in the S32 Design Studio IDE with graphical based configur
ations features automotive-grade\, documented source code and free of char
ge software to accelerate the development with the MPC57xxx family of MCUs
. Software stacks and middleware are provided a
s part of the total system solution\, such as TCP/IP\, Ethernet AVB and US
B stacks for high speed communication. Join to
learn more and start your design right away! Melanie Werner\, Automotive Technical Mar
keter\, NXP Vlad Lionte\, Automotive Embedded Sof
tware Engineer\, NXP  \; . 10 \;AM \;EDT \;| \;Register \;»\;
CATEGORIES:Education
UID:20170908T2230060Z-511394-1547@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170914T140000
DTEND;TZID=America/Sao_Paulo:20170914T150000
SUMMARY:Design with Purpose\, Create with Passion
DESCRIPTION:Tired of tedious non-design tasks interfering with your creativ
e workflow? You’re not alone. Discover how Altium Designer® draws on innov
ative technologies to fuel the creative process and indulge your passion f
or design. JOIN THE WEBINAR TO LEARN: How to save time designing your
PCB’s in a comprehensive\, unified design environment How to gain and main
tain a competitive economic advantage with access to real-time supply chai
n data How to clear unique design hurdles with versatile 3-D integration i
ncluding Rigid-Flex design technology Register
X-ALT-DESC;FMTTYPE=text/html:Tired of tediou
s non-design tasks interfering with your creative workflow? You&rsquo\;re
not alone. Discover how Altium Designer®\; draws on innovative technolo
gies to fuel the creative process and indulge your passion for design.  \;  \; - How to save time designing your PCB&rsquo\;s in
a comprehensive\, unified design environment
- How to gain and ma
intain a competitive economic advantage with access to real-time supply ch
ain data
- How to clear unique design hurdles with versatile 3-D i
ntegration including Rigid-Flex design technology
Register  \;
CATEGORIES:Education
UID:20170908T2233370Z-511394-1548@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170912T130000
DTEND;TZID=America/Sao_Paulo:20170912T140000
SUMMARY:Hypervisor and Hardware: Consolidation without Compromise
DESCRIPTION:Editor's Pick Hypervisor and Hardware: Consolidation without Co
mpromise Presented by: QNX/Blackberry and Intel September 12\, 2017 @ 9a
m PST/12pm EST Today's multicore System-on-chip (SoC) processors are del
ivering enough compute power and capabilities to consolidate multiple disc
rete systems. Hypervisor technology has emerged as the critical foundation
al component for securely partitioning\, separating\, and isolating safety
critical elements of the system from non-safety critical environments. At
tend this webinar to learn more. Summary Explore the future of embedded
hypervisors with BlackBerry QNX and Intel Today's multicore System-on-chip
(SoC) processors are delivering enough compute power and capabilities to
consolidate multiple discrete systems. Hypervisor technology has emerged a
s the critical foundational component for securely partitioning\, separati
ng\, and isolating safety critical elements of the system from non-safety
critical environments. Attendees will learn: How to optimize embedded hype
rvisor-based designs on Intel and ARM hardware. The importance of real-tim
e behavior\, boot time\, failure recovery\, and inter-guest communication.
The benefits of virtualization using the Intel Atom EXXXX and its high-pe
rformance GVT-g graphics sharing model. How to combine a digital instrumen
t cluster and an infotainment system into a unified digital cockpit. REGIS
TER
X-ALT-DESC;FMTTYPE=text/html:Editor's Pick Hypervisor and Ha
rdware: Consolidation without Compromise  \; P
resented by: QNX/Blackberry and Intel September 12\, 2017 @ 9am PST/
12pm EST  \; Today's multicore System-on-chip (SoC) processors are deli
vering enough compute power and capabilities to consolidate multiple discr
ete systems. Hypervisor technology has emerged as the critical foundationa
l component for securely partitioning\, separating\, and isolating safety
critical elements of the system from non-safety critical environments. Att
end this webinar to learn more.  \; Explore the future of embedded hypervisors with Bl
ackBerry QNX and Intel Today's multicore System-on-chip (SoC) proces
sors are delivering enough compute power and capabilities to consolidate m
ultiple discrete systems. Hypervisor technology has emerged as the critica
l foundational component for securely partitioning\, separating\, and isol
ating safety critical elements of the system from non-safety critical envi
ronments. Attendees will learn: - Ho
w to optimize embedded hypervisor-based designs on Intel and ARM hardware.
- The importance of real-time behavior\, boot time\, failure reco
very\, and inter-guest communication.
- The benefits of virtualiza
tion using the Intel Atom EXXXX and its high-performance GVT-g graphics sh
aring model.
- How to combine a digital instrument cluster and an
infotainment system into a unified digital cockpit.
<
strong>REGISTER
CATEGORIES:Education
UID:20170908T2243380Z-511394-1549@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170914T130000
DTEND;TZID=America/Sao_Paulo:20170914T140000
SUMMARY:How to be More Efficient and Profitable in the CAV Market
DESCRIPTION:Summary How does intelligent technology make commercial\, const
ruction and agricultural vehicles (CAV) more efficient and more profitable
? Infineon is exploring this topic in the upcoming webcast. Save your seat
now to find out what is on the horizon in CAV tech! Gain valuable insigh
ts into tomorrow’s technology and the latest Truck OEM Trends and challeng
es! Infineon will explain you how to overcome it and answer all you questi
ons during the live session. Get ahead by using tomorrow’s technology toda
y\, for products of tomorrow. Attend this webinar to learn more about: • C
AV market trends and solutions • Smart High Side Switches for 24V • Infine
on solutions available today for CAV REGISTER
X-ALT-DESC;FMTTYPE=text/html: How does intelligent technology make commercial\,
construction and agricultural vehicles (CAV) more efficient and more prof
itable? Infineon is exploring this topic in the upcoming webcast. Save you
r seat now to find out what is on the horizon in CAV tech! \;
Gain valuable insights into tomorrow&rsquo\;s technology and the latest Tr
uck OEM Trends and challenges! Infineon will explain you how to overcome i
t and answer all you questions during the live session. Get ahead by using
tomorrow&rsquo\;s technology today\, for products of tomorrow. Attend this webinar to learn more about: &bull\; CA
V market trends and solutions &bull\; Smart High Side Switches for 2
4V &bull\; Infineon solutions available today for CAV  \
;  \; REGISTER
CATEGORIES:Education
UID:20170908T2247020Z-511394-1550@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170919T130000
DTEND;TZID=America/Sao_Paulo:20170919T140000
SUMMARY:Meeting the Challenges of the Industrial Internet of Things - Day 1
: Overview
DESCRIPTION:Summary In this lead-off class\, we will look at the history of
the Industrial Internet of Things (IIoT) and the growing market it entail
s. We will look at the economics and advantages of the connected factories
and communities as well as the challenges they will face. We will look at
the building blocks of the IIoT including connectivity and infrastructure
. For example\, how can we add the advantages of the IIoT to existing netw
orks that may currently use everything from 4-20ma current loops and seria
l or even proprietary communications? How can we integrate myriad data str
uctures? And most important – how can we assure safety\, reliability\, and
security? Join us as our instructor will discuss solutions to these issue
s and more. REGISTER Register for Day 2: It's All About the Data Register
for Day 3: Getting the Proper “Sense” of the IIoT
X-ALT-DESC;FMTTYPE=text/html: In this lead-off class\, we will look at the hist
ory of the Industrial Internet of Things (IIoT) and the growing market it
entails. We will look at the economics and advantages of the connected fac
tories and communities as well as the challenges they will face. We will l
ook at the building blocks of the IIoT including connectivity and infrastr
ucture. For example\, how can we add the advantages of the IIoT to existin
g networks that may currently use everything from 4-20ma current loops and
serial or even proprietary communications? How can we integrate myriad da
ta structures? And most important &ndash\; how can we assure safety\, reli
ability\, and security? Join us as our instructor will discuss solutions t
o these issues and more. REGISTER R
egister for
Day 2: It's All About the Data
span> Register for Day 3: Getting the Proper &l
dquo\;Sense&rdquo\; of the IIoT  \;
CATEGORIES:Education
UID:20170908T2249340Z-511394-1551@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170920T130000
DTEND;TZID=America/Sao_Paulo:20170920T140000
SUMMARY:Meeting the Challenges of the Industrial Internet of Things- Day 2:
It’s All About the Data
DESCRIPTION: With the explosive growth of the IIoT and the IoT in general\
, we will be facing the challenge of not only designing billions of device
s that all have to operate in the growing Internet but the additional chal
lenge of how we are going to manage the trillions of bytes of data that th
ese devices will be generating. Even in its early stages\, the IoT is gene
rating many times the data that we can effectively manage – current estima
tes are that we only keep and use 10-25% of the data we are currently gene
rating. How can we best make use of that data? And again – how can we be s
ure that the data is safe and secure? In this second class our instructor
will discuss the methods that we can use to assure the integrity of our da
ta and how we can manage the data and make the most use of it. During Day
2 we will also look at how we can integrate the Information Technology (IT
) aspect of the IoT with the Operational Technology (OT) needed to manage\
, monitor\, and maintain robust and secure IIoT systems through such metho
ds as cloud-based device management. REGISTER Register for Day 1: Overvi
ew Register for Day 3: Getting the Proper 'Sense' of the IIoT
X-ALT-DESC;FMTTYPE=text/html: \; With the explosive growth o
f the IIoT and the IoT in general\, we will be facing the challenge of not
only designing billions of devices that all have to operate in the growin
g Internet but the additional challenge of how we are going to manage the
trillions of bytes of data that these devices will be generating. Even in
its early stages\, the IoT is generating many times the data that we can e
ffectively manage &ndash\; current estimates are that we only keep and use
10-25% of the data we are currently generating. How can we best make use
of that data? And again &ndash\; how can we be sure that the data is safe
and secure? In this second class our instructor will discuss the methods t
hat we can use to assure the integrity of our data and how we can manage t
he data and make the most use of it. During Day 2 we will also look at how
we can integrate the Information Technology (IT) aspect of the IoT with t
he Operational Technology (OT) needed to manage\, monitor\, and maintain r
obust and secure IIoT systems through such methods as cloud-based device m
anagement. REGISTER  \; Regis
ter for \;Day 1: Overview  \; Register for \;Day 3: Getting the
Proper 'Sense' of the IIoT  \; &nbs
p\;
CATEGORIES:Education
UID:20170908T2252370Z-511394-1552@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20170921T130000
DTEND;TZID=America/Sao_Paulo:20170921T140000
SUMMARY:Meeting the Challenges of the Industrial Internet of Things- Day 3:
Getting the Proper “Sense” of the IIoT
DESCRIPTION:Summary The IIoT has for a large part grown from the original c
oncept of sensor networks. Used in factories and building automation\, sen
sor networks began as first wired then proprietary wireless interconnectio
ns of distributed sensors. Now as part of the IIoT\, these sensors have gr
own more widespread and are more critical than ever for the success of the
se industrial systems. In this final class of our series\, our instructor
will look at the various sensors that make up much of the IIoT and how we
can design our systems to assure safety and reliability. During Day 3 we w
ill also look at how to help assure security of our IIoT design at the edg
e level\, as this is the part of our systems (and the IIoT in general) tha
t is most vulnerable to attack. REGISTER Register for Day 1: Overview Regi
ster for Day 2: It’s All About the Data
X-ALT-DESC;FMTTYPE=text/html: The IIoT has for a l
arge part grown from the original concept of sensor networks. Used in fact
ories and building automation\, sensor networks began as first wired then
proprietary wireless interconnections of distributed sensors. Now as part
of the IIoT\, these sensors have grown more widespread and are more critic
al than ever for the success of these industrial systems. In this final cl
ass of our series\, our instructor will look at the various sensors that m
ake up much of the IIoT and how we can design our systems to assure safety
and reliability. During Day 3 we will also look at how to help assure sec
urity of our IIoT design at the edge level\, as this is the part of our sy
stems (and the IIoT in general) that is most vulnerable to attack. REGISTER Registe
r for \;
Day 1: Overview Register for \;Day 2: It&rsquo\;s All About
the Data  \;
CATEGORIES:Education
UID:20170908T2255320Z-511394-1553@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171113T160000
DTEND;TZID=America/Sao_Paulo:20171113T170000
SUMMARY:ARM Development Primer- Day 1
DESCRIPTION:ARM MCUs are very popular. These days it is common to find an A
RM MCU embedded in a Bluetooth radio module or running the show on an embe
dded computing platform such as the Raspberry Pi or BeagleBone. This lectu
re series will focus on working with the smaller ARM variants that are com
monly used in applications that would normally employ standard microcontro
llers. November 13 – Day 1– Call to ARM(s) Today\, we will collect all of
the tools we’ll need to ARM ourselves for the rest of the lecture series.
We will gather programmers/debuggers\, install software tools and test the
operation of the hardware that we will be discussing this week.
X-ALT-DESC;FMTTYPE=text/html: ARM MCUs are
very popular. These days it is common to find an ARM MCU embedded in a Blu
etooth radio module or running the show on an embedded computing platform
such as the Raspberry Pi or BeagleBone. This lecture series will focus on working with the smaller ARM variant
s that are commonly used in applications that would normally employ standa
rd microcontrollers. -
Today\, we will coll
ect all of the tools we&rsquo\;ll need to ARM ourselves for the rest of th
e lecture series. We will gather programmers/debuggers\, install software
tools and test the operation of the hardware that we will be discussing th
is week.
CATEGORIES:Education
UID:20171112T1532480Z-511394-1624@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171114T160000
DTEND;TZID=America/Sao_Paulo:20171114T170000
SUMMARY:ARM Development Primer- Day 2
DESCRIPTION:ARM MCUs are very popular. These days it is common to find an A
RM MCU embedded in a Bluetooth radio module or running the show on an embe
dded computing platform such as the Raspberry Pi or BeagleBone. This lectu
re series will focus on working with the smaller ARM variants that are com
monly used in applications that would normally employ standard microcontro
llers. November 14 – Day 2 – ARM I/O 101 Learning to control an ARM proces
sor’s I/O subsystems is essential. In this lecture\, we will code firmware
manipulation routines for various STMicroelectronics ARM microcontrollers
using the Keil MDK-Essential Edition.
X-ALT-DESC;FMTTYPE=text/html: ARM MCUs are
very popular. These days it is common to find an ARM MCU embedded in a Blu
etooth radio module or running the show on an embedded computing platform
such as the Raspberry Pi or BeagleBone. This lecture series will focus on working with the smaller ARM variant
s that are commonly used in applications that would normally employ standa
rd microcontrollers. -
Learning to control a
n ARM processor&rsquo\;s I/O subsystems is essential. In this lecture\, we
will code firmware manipulation routines for various STMicroelectronics A
RM microcontrollers using the Keil MDK-Essential Edition. <
/div>
CATEGORIES:Education
UID:20171112T1533350Z-511394-1625@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171115T160000
DTEND;TZID=America/Sao_Paulo:20171115T170000
SUMMARY:ARM Development Primer- Day 3
DESCRIPTION:ARM MCUs are very popular. These days it is common to find an A
RM MCU embedded in a Bluetooth radio module or running the show on an embe
dded computing platform such as the Raspberry Pi or BeagleBone. This lectu
re series will focus on working with the smaller ARM variants that are com
monly used in applications that would normally employ standard microcontro
llers. November 15 – Day 3 – ARM Peripherals 101 Now that we know how to c
ontrol the ARM I/O subsystem\, we can add to that functionality by taking
control of the ARM on-chip peripherals. Today’s lecture will concentrate o
n enabling ARM-based UARTS\, SPI and USB portals.
X-ALT-DESC;FMTTYPE=text/html: ARM MCUs are
very popular. These days it is common to find an ARM MCU embedded in a Blu
etooth radio module or running the show on an embedded computing platform
such as the Raspberry Pi or BeagleBone. This lecture series will focus on working with the smaller ARM variant
s that are commonly used in applications that would normally employ standa
rd microcontrollers. -
Now
that we know how to control the ARM I/O subsystem\, we can add to that fun
ctionality by taking control of the ARM on-chip peripherals. Today&rsquo\;
s lecture will concentrate on enabling ARM-based UARTS\, SPI and USB porta
ls.
CATEGORIES:Education
UID:20171112T1534360Z-511394-1626@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171116T160000
DTEND;TZID=America/Sao_Paulo:20171116T170000
SUMMARY:ARM Development Primer- Day 4
DESCRIPTION:ARM MCUs are very popular. These days it is common to find an A
RM MCU embedded in a Bluetooth radio module or running the show on an embe
dded computing platform such as the Raspberry Pi or BeagleBone. This lectu
re series will focus on working with the smaller ARM variants that are com
monly used in applications that would normally employ standard microcontro
llers. November 16 – Day 4– Learning to Drive the ATSAME54P20A Everything
Atmel is now Microchip. The acquisition of Atmel pushed Microchip into the
ARM business. Today’s lecture will introduce you to Microchip’s new SAM D
5x E5x family of ARM MCUs. We will kick the tires and learn to drive the A
TSAME54P20A.
X-ALT-DESC;FMTTYPE=text/html: ARM MCUs are
very popular. These days it is common to find an ARM MCU embedded in a Blu
etooth radio module or running the show on an embedded computing platform
such as the Raspberry Pi or BeagleBone. This lecture series will focus on working with the smaller ARM variant
s that are commonly used in applications that would normally employ standa
rd microcontrollers. -
Everything Atmel is now Microchip. The acquisition of At
mel pushed Microchip into the ARM business. Today&rsquo\;s lecture will in
troduce you to Microchip&rsquo\;s new SAM D5x E5x family of ARM MCUs. We w
ill kick the tires and learn to drive the ATSAME54P20A.
CATEGORIES:Education
UID:20171112T1535570Z-511394-1627@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171117T160000
DTEND;TZID=America/Sao_Paulo:20171117T170000
SUMMARY:ARM Development Primer- Day 5
DESCRIPTION:ARM MCUs are very popular. These days it is common to find an A
RM MCU embedded in a Bluetooth radio module or running the show on an embe
dded computing platform such as the Raspberry Pi or BeagleBone. This lectu
re series will focus on working with the smaller ARM variants that are com
monly used in applications that would normally employ standard microcontro
llers. November 17 – Day 5– Networking the ATSAME54P20A Today’s lecture wi
ll walk through the steps necessary to connect the ATSAME54P20A to an Ethe
rnet LAN. We will use the services of the LwIP TCP/IP stack to transfer ra
w data over the LAN.
X-ALT-DESC;FMTTYPE=text/html: ARM MCUs are
very popular. These days it is common to find an ARM MCU embedded in a Blu
etooth radio module or running the show on an embedded computing platform
such as the Raspberry Pi or BeagleBone. This lecture series will focus on working with the smaller ARM variant
s that are commonly used in applications that would normally employ standa
rd microcontrollers. -
Today&rsquo\;s lecture will walk through the steps necessary to con
nect the ATSAME54P20A to an Ethernet LAN. We will use the services of the
LwIP TCP/IP stack to transfer raw data over the LAN.
CATEGORIES:Education
UID:20171112T1537360Z-511394-1628@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171128T160000
DTEND;TZID=America/Sao_Paulo:20171128T170000
SUMMARY:Technical Evolution Drives Demand for Digital Temperature Sensing
DESCRIPTION:Summary Digital temperature sensors are increasingly critical t
o a wide assortment of applications – from wearables to autonomous vehicle
s. But what is it that makes them well suited to so many applications\, an
d what trends are driving the evolution of digital temperature sensors?
This webinar will explore the technical characteristics and industry trend
s that are making digital temperature sensors a perfect match for engineer
s who need high-performance\, low power consumption in tiny configurations
. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: Summary Digital temperatur
e sensors are increasingly critical to a wide assortment of applications &
ndash\; from wearables to autonomous vehicles. But what is it that makes t
hem well suited to so many applications\, and what trends are driving the
evolution of digital temperature sensors?  \; This web
inar will explore the technical characteristics and industry trends that a
re making digital temperature sensors a perfect match for engineers who ne
ed high-performance\, low power consumption in tiny configurations. <
p> REGISTER NOW
CATEGORIES:Education
UID:20171112T1539420Z-511394-1629@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171114T160000
DTEND;TZID=America/Sao_Paulo:20171114T170000
SUMMARY:Get Started With Real-Time Analytics
DESCRIPTION:In the competitive\, connected\, big data world\, speed to insi
ght and action are more critical than ever before. Decision makers can no
longer rely on static analytics. In this session\, Jen Underwood\, Founder
of Impact Analytix\, LLC and All Analytics will walk through real-world\,
real-time analytics use cases for cyber-security\, operations management\
, finance\, marketing\, and sports. In this All Analytics 101-style sessio
n we will cover: Why real-time analytics is so important to business succe
ss today How real-time analytics can be applied in the enterprise How othe
r businesses and organizations are using real-time analytics today How to
get started with real-time analytics REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: In the competitive\, connected\, big data w
orld\, speed to insight and action are more critical than ever before. Dec
ision makers can no longer rely on static analytics. In this session\, Jen
Underwood\, Founder of Impact Analytix\, LLC and All Analytics will walk through real-world\, real-time analytics use cases for
cyber-security\, operations management\, finance\, marketing\, and sports<
/a>. In this All Analytics 101-style session we will cover:<
/p> - Why real-time analytics is so important to business success
today
- How real-time analytics can be applied in the enterprise<
/li>
- How other businesses and organizations are using real-time analy
tics today
- How to get started with real-time analytics
REGISTER NOW
CATEGORIES:Education
UID:20171112T1541510Z-511394-1630@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171130T160000
DTEND;TZID=America/Sao_Paulo:20171130T170000
SUMMARY:AESA Radars: Past\, Present\, and Future
DESCRIPTION:he Active Electronically-Steered Array (AESA) radar is one of t
he most technologically complex systems in the defense inventory. The AESA
architecture\, when combined with high-speed signal processing\, very wid
e bandwidths\, and “chameleon-like” capabilities\, makes these radars comp
etitive with even the most advanced electronic warfare systems. As they ad
vance\, so too must the measurement systems employed in their development\
, testing\, and validation. During this webinar\, we will look into: Cur
rent AESA radars and their capabilities Measurement challenges (and soluti
ons) The future of these extraordinary systems REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:he Active Electronically-Steered Array (AES
A) radar is one of the most technologically complex systems in the defense
inventory. The AESA architecture\, when combined with high-speed signal p
rocessing\, very wide bandwidths\, and &ldquo\;chameleon-like&rdquo\; capa
bilities\, makes these radars competitive with even the most advanced elec
tronic warfare systems. As they advance\, so too must the measurement syst
ems employed in their development\, testing\, and validation. &nbs
p\; During this webinar\, we will look into: - Curren
t AESA radars and their capabilities
- Measurement challenges (and
solutions)
- The future of these extraordinary systems
 \; REGISTER NOW
CATEGORIES:Education
UID:20171112T1543290Z-511394-1631@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20171115T130000
DTEND;TZID=America/Sao_Paulo:20171115T140000
SUMMARY:Non-visible imaging methods\, advancements\, and benefits Q&A
DESCRIPTION:In this Q&A webcast\, David Dechow\, Staff Engineer\, Intellige
nt Robotics/Machine Vision\, FANUC America Corporation\, will answer your
questions regarding non-visible imaging\, including infrared\, multispectr
al\, and hyperspectral imaging techniques and applications\, in a live que
stion-and-answer style webcast. REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html:In
this Q&\;A webcast\, David Dechow\, Staff Engineer\, Intelligent Roboti
cs/Machine Vision\, FANUC America Corporation\, will answer your questions
regarding non-visible imaging\, including infrared\, multispectral\, and
hyperspectral imaging techniques and applications\, in a live question-and
-answer style webcast.  \;
CATEGORIES:Education
UID:20171112T1549310Z-511394-1632@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180614T150000
DTEND;TZID=America/Sao_Paulo:20180614T160000
SUMMARY:Leveraging open source software to protect IP and data on the devic
e
DESCRIPTION:Hackers are proving highly adept at cloning devices to launch m
an-in-the-middle attacks and intercept sensitive user data. Is your device
protected from being counterfeited and user data hacked? In this session\
, we’ll talk about how you can protect your IP and keep data securely stor
ed on the device by encrypting data/software. In addition\, we’ll discuss
how to protect the key used for encryption using a secure storage mechanis
m. And lastly\, we’ll discuss why software which handles confidential data
should run from within in a hardware/software isolated environment. Topic
s covered: Anti-cloning (IP) Key Management and secure key storage Data pr
otection using encryption — In use\, in transit\, at rest Trusted Platform
Module (TPM) Trusted Execution Environment (TEE) using Arm TrustZone and
OP-TEE Device identity and authentication REGISTER FOR SESSION 3
X-ALT-DESC;FMTTYPE=text/html:Hackers are proving highly adept at cloning
devices to launch man-in-the-middle attacks and intercept sensitive user
data. Is your device protected from being counterfeited and user data hack
ed? In this session\, we&rsquo\;ll talk about how you can protect your IP
and keep data securely stored on the device by encrypting data/software. I
n addition\, we&rsquo\;ll discuss how to protect the key used for encrypti
on using a secure storage mechanism. And lastly\, we&rsquo\;ll discuss why
software which handles confidential data should run from within in a hard
ware/software isolated environment. Topics covered: - Anti-cloning (IP)
- Key Management and secure key storage
<
li>Data protection using encryption &mdash\; In use\, in transit\, at rest
- Trusted Platform Module (TPM)
- Trusted Execution Envir
onment (TEE) using Arm TrustZone and OP-TEE
- Device identity and
authentication
REGIST
ER FOR SESSION 3
CATEGORIES:Education
UID:20180527T2323400Z-511394-1780@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180606T120000
DTEND;TZID=America/Sao_Paulo:20180606T130000
SUMMARY:Comparing CCD\, CMOS\, and hybrid CCD/CMOS TDI image sensors
DESCRIPTION: In a webcast on June 6\, Piet De Moor\, Program Manager Opt
ical Sensors at imec\, will provide an in-depth look at the world of image
sensors\, including the different types of sensors available\, including
line scan and area array. He will also discuss CMOS sensors\, CCD sensors\
, and hybrid CCD/CMOS TDI image sensors\, as well as discuss TDI line scan
cameras\, color line scan cameras. What You'll Learn: • The differences b
etween CCD and CMOS image sensors • The differences between line scan\, ar
ea array\, and TDI line scan sensors • Image sensor parameters such as ima
ger size\, pixel size\, frame rate • Which image sensor/camera is best sui
ted for a particular application Who Should Attend: • Those wishing to kno
w more about the latest image sensors • Engineers and engineering managers
in vision • Developers of factory automation\, medical\, scientific and c
onsumer products REGISTER HERE!
X-ALT-DESC;FMTTYPE=text/html: \;  \; In a webcas
t on June 6\, Piet De Moor\, Program Manager Optical Sensors at imec\, wil
l provide an in-depth look at the world of image sensors\, including the d
ifferent types of sensors available\, including line scan and area array.
He will also discuss CMOS sensors\, CCD sensors\, and hybrid CCD/CMOS TDI
image sensors\, as well as discuss TDI line scan cameras\, color line scan
cameras. &bull\; | The differen
ces between CCD and CMOS image sensors | &bull\; | The differences between line scan\, area a
rray\, and TDI line scan sensors | &bull
\; | Image sensor parameters such as imager size\, pi
xel size\, frame rate | &bull\; | Which image sensor/camera is best suited for a particular a
pplication &bull\; | Those wishing to know more about the latest image sensors
| &bull\; | Engine
ers and engineering managers in vision | &bull\; | Developers of factory automation\, medical
\, scientific and consumer products
| REGISTER HERE!
CATEGORIES:Education
UID:20180527T2259240Z-511394-1772@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180531T150000
DTEND;TZID=America/Sao_Paulo:20180531T160000
SUMMARY:Verifying the authenticity of software running on your device
DESCRIPTION:Verifying firmware authenticity is an essential part of designi
ng and maintaining an effective device security posture. How will you prot
ect your device from running tampered software? To ensure your device is p
rotected against unauthorized access\, you need to establish software auth
enticity before execution\, all the way from the bootloader to user applic
ations. In this session\, we’ll identify the components of a Linux-based s
ystem that need to be protected\, the many options available\, and best pr
actices. We’ll complete the session with a demo of a rejected/unsigned ima
ge boot. Topics covered: Secure boot Chain of trust Kernel verification (F
IT image\, SoC specific mechanisms) Root filesystem verification (dm-verit
y\, IMA/EVM\, FIT image) REGISTER FOR SESSION 2
X-ALT-DESC;FMTTYPE=text/html: Verifying firmware authentic
ity is an essential part of designing and maintaining an effective device
security posture. How will you protect your device from running tampered s
oftware? To ensure your device is protected against unauthorized access\,
you need to establish software authenticity before execution\, all the way
from the bootloader to user applications. In this session\, we&rsquo\;ll
identify the components of a Linux-based system that need to be protected\
, the many options available\, and best practices. We&rsquo\;ll complete t
he session with a demo of a rejected/unsigned image boot. Topics c
overed: - Secure boot
- Chain of trust
- Kern
el verification (FIT image\, SoC specific mechanisms)
- Root files
ystem verification (dm-verity\, IMA/EVM\, FIT image)
REGISTER FOR SESSION 2
div>  \;
CATEGORIES:Education
UID:20180527T2321590Z-511394-1779@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180612T150000
DTEND;TZID=America/Sao_Paulo:20180612T160000
SUMMARY:Learn the six best practices for optical product design teams
DESCRIPTION: Optical product development managers often face challenges
in bringing projects to the finish line-on time and on budget. A modern ap
proach to your design process can drastically improve your chances for suc
cess\, improving optical performance\, cutting your product development ti
me dramatically\, and reducing costs. By following six best practices in
optical product development\, teams developing cutting-edge optical produ
cts-from virtual reality to autonomous vehicles-can gain an enormous compe
titive advantage. In this webinar\, we'll examine how you can: Remain op
en to an evolving development plan Using iterative-not linear-design proce
sses Experiment with smaller batches for faster feedback Quantify the cost
of delays and factoring it into your decisions Make information flows vis
ible Experiment early\, rapidly\, and frequently For more information and
to register\, click here. Register Now
X-ALT-DESC;FMTTYPE=text/html:  \;
|  \; | Optical product development
managers often face challenges in bringing projects to the finish line-on
time and on budget. A modern approach to your design process can drastica
lly improve your chances for success\, improving optical performance\, cut
ting your product development time dramatically\, and reducing costs. |
 \; | By following six best practices
in optical product development\, teams developing cutting-edge optical pro
ducts-from virtual reality to autonomous vehicles-can gain an enormous com
petitive advantage. |  \; | In this
webinar\, we'll examine how you can: - Remain open to an evolving
development plan
- Using iterative-not linear-design processes
- Experiment with smaller batches for faster feedback
- Quan
tify the cost of delays and factoring it into your decisions
- Mak
e information flows visible
- Experiment early\, rapidly\, and fre
quently
| For more information and to regi
ster\, \;click here.  \; |  \; | <
td align='center' valign='middle'>
CATEGORIES:Education
UID:20180527T2306580Z-511394-1774@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180530T140000
DTEND;TZID=America/Sao_Paulo:20180530T150000
SUMMARY:Cutting through the clutter to simplify commercial smart lighting i
n North America
DESCRIPTION:In this webinar\, you will learn how interface standards for LE
D-luminaire controls electronics are developing to provide harmonization a
nd simplify choices. You will also learn how Philips Lighting leverages op
en standards to develop cost-effective\, fixture-based solutions that deli
ver energy savings and address code compliance requirements with or withou
t a central server or gateway. What You'll Learn: • Intra-luminaire digita
l interface for commercial smart lighting • Wireless fixture-based smart l
ighting control for commercial applications • Philips Lighting smart LED e
lectronics Who Should Attend: North American lighting specifiers\, lightin
g designers\, OEMs Register Now>> Watch May 30\, 2018 at 1:00 PM EDT / 12:
00 PM CDT / 10:00 AM PDT / 5:00 PM GMT
X-ALT-DESC;FMTTYPE=text/html:In this webinar\, you will learn how interf
ace standards for LED-luminaire controls electronics are developing to pro
vide harmonization and simplify choices. You will also learn how Philips L
ighting leverages open standards to develop cost-effective\, fixture-based
solutions that deliver energy savings and address code compliance require
ments with or without a central server or gateway. What You'll \;Learn: | <
/table> &bull\; |
Intra-luminaire digital interface for commer
cial smart lighting | &bull\; | Wireless fixture-based smart lighting control for commercial
applications | &bull\; | Philips Lighting smart LED electronics | North American lighting specifiers\, lighting design
ers\, OEMs | Register Now>\;>\; Watch May 30\, 2018 at 1:00 PM EDT /
12:00 PM CDT / 10:00 AM PDT / 5:00 PM GMT
CATEGORIES:Education
UID:20180527T2309310Z-511394-1775@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180612T120000
DTEND;TZID=America/Sao_Paulo:20180612T130000
SUMMARY:Confidence In Numbers – What it Means to be ISO 26262 ASIL Complian
t
DESCRIPTION: As autonomous vehicles evolve from research to prototypes t
o real cars on the road\, functional safety and ISO 26262 have become cent
ral topics in the automotive industry. Although the first edition of the s
tandard was released seven years ago\, there still are differences in opin
ions and actual practices on what it means to be ISO 26262 compliant. The
level of diligence to which safety considerations are institutionalized\,
and designed into the product\, could also differ for two products with th
e same ASIL claim. Join BlackBerry QNX\, a leader in functional safety for
embedded software\, to explore this topic and learn: The differences betw
een compliant\, certified and certifiable How to determine if a product li
ves up to its ISO 26262 claim How to mitigate risk of non-compliance and r
educe development and certification costs Speakers: Yi Zheng\, Senior Pr
oduct Manager\, BlackBerry QNX Chris Hobbs\, Software Developer\, Certific
ations\, BlackBerry QNX Moderator: Brandon Lewis\, OpenSystems Media REGI
STER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; As autonomous vehicles evolve from research to prototyp
es to real cars on the road\, functional safety and ISO 26262 have become
central topics in the automotive industry. Although the first edition of t
he standard was released seven years ago\, there still are differences in
opinions and actual practices on what it means to be ISO 26262 compliant.
The level of diligence to which safety considerations are institutionalize
d\, and designed into the product\, could also differ for two products wit
h the same ASIL claim. Join BlackBerry QNX\, a leader in functiona
l safety for embedded software\, to explore this topic and learn: - The differences between compliant\, certified and certifiable
- How to determine if a product lives up to its ISO 26262 claim
<
li>How to mitigate risk of non-compliance and reduce development and certi
fication costs  \; Speakers: Yi Zheng\, Senior Product Manag
er\, BlackBerry QNX Chris Hobbs\, Software Developer\, Certifications
\, BlackBerry QNX Moderator: \; Brandon
Lewis\, OpenSystems Media | REGISTER NOW | |
CATEGORIES:Education
UID:20180527T2312070Z-511394-1776@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180605T150000
DTEND;TZID=America/Sao_Paulo:20180605T160000
SUMMARY:Moving Electric Utilities from Device to Data Centricity
DESCRIPTION: Today\, electric Utilities across the world are facing trem
endous challenges in the way that OT and IT systems interact. Current auto
mation and control systems\, such as SCADA\, EMS\, DMS\, OMS\, and back-of
fice systems\, are being confronted with connecting new devices\, meeting
new requirements and addressing security needs. Additionally\, for many of
the electric Utilities\, accessing the data that they have created and sa
ved is a tremendous problem. It is essentially “frozen” in legacy systems
and protocols with few options for access\, sharing or securing. In far to
o many cases\, multiple stand-alone systems are still in place so integrat
ion costs continue to rise. “Just give me the data” is frequently the bigg
est problem statement discussed industry-wide. When looking at architectur
al solutions\, electric utilities are finding tremendous benefit in moving
from device management to data centricity. However\, electric Utilities n
eed systems that navigate the past\, the present and protect the investmen
t well into the future. As a result\, numerous utilities are investing in
systems that will redefine the industry with a radically advanced approach
to automation that safely and seamlessly integrates humans\, existing mec
hanical equipment\, machine learning and AI. In this webinar\, RTI’s direc
tor of market development for future grid\, Erik Felt\, will discuss the f
uture of the electric grid and how RTI Connext DDS provides a technology w
hich enables a purely data-centric architecture versus a traditional hardw
are solution. Attendees will learn the difference and importance of data-c
entricity compared with device management\, how this approach has an immed
iate impact on reducing system complexity for electric utilities\, and how
to enforce best practices through automation. Speaker: Erik Felt\, Market
Development Director\, Future Grid\, RTI Moderator: John McHale\, OpenSy
stems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; Today\, electric Utilities across the world are facing tre
mendous challenges in the way that OT and IT systems interact. Current aut
omation and control systems\, such as SCADA\, EMS\, DMS\, OMS\, and back-o
ffice systems\, are being confronted with connecting new devices\, meeting
new requirements and addressing security needs. Additionally\, for many o
f the electric Utilities\, accessing the data that they have created and s
aved is a tremendous problem. It is essentially &ldquo\;frozen&rdquo\; in
legacy systems and protocols with few options for access\, sharing or secu
ring. In far too many cases\, multiple stand-alone systems are still in pl
ace so integration costs continue to rise. &ldquo\;Just give me the data&r
dquo\; is frequently the biggest problem statement discussed industry-wide
. When looking at architectural solutions\, electric utilities are
finding tremendous benefit in moving from device management to data centr
icity. However\, electric Utilities need systems that navigate the past\,
the present and protect the investment well into the future. As a result\,
numerous utilities are investing in systems that will redefine the indust
ry with a radically advanced approach to automation that safely and seamle
ssly integrates humans\, existing mechanical equipment\, machine learning
and AI. In this webinar\, RTI&rsquo\;s director of market developm
ent for future grid\, Erik Felt\, will discuss the future of the electric
grid and how RTI Connext DDS provides a technology which enables a purely
data-centric architecture versus a traditional hardware solution. Attendee
s will learn the difference and importance of data-centricity compared wit
h device management\, how this approach has an immediate impact on reducin
g system complexity for electric utilities\, and how to enforce best pract
ices through automation. | Speaker: Erik Felt\, Market Development Director\, Futu
re Grid\, RTI Moderator: \; John McHale\
, OpenSystems Media | |
CATEGORIES:Education
UID:20180527T2315330Z-511394-1777@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180619T150000
DTEND;TZID=America/Sao_Paulo:20180619T160000
SUMMARY:How to Make your Home Security System More Connected and Less Compl
icated
DESCRIPTION: Security systems are getting smarter every day with many pr
oducts adding new layers of security. For example\, doorbell cameras now o
ffer features like color night vision\, two-way audio\, customizable motio
n detection\, and more. However\, just a few years ago\, doorbell cameras
didn’t even exist. When designing a security system with many different pr
oducts like security cameras\, door and window sensors\, garage door opene
rs\, and smart door locks\, one of the greatest challenges is choosing the
wireless connectivity technology. It is clear that there is no “one size
fits all” when it comes to wireless connectivity. Different security produ
cts have different requirements like battery\, range or throughput forcing
the system designer to deploy more than one connectivity technology. This
webinar takes a look at the challenge of using multiple connectivity tech
nologies within a security system and dives into the recent trends like mu
lti-protocol wireless MCUs\, which create an easier and faster design cycl
e within a single system containing multiple connectivity protocols. Speak
er: Ben Gilboa\, Marketing System Engineer\, Low Power RF\, Connected MCU
\, Texas Instruments Moderator: Curt Schwaderer\, OpenSystems Media REGIS
TER NOW
X-ALT-DESC;FMTTYPE=text/html: \;  \; Security systems are getting smarter every day w
ith many products adding new layers of security. For example\, doorbell ca
meras now offer features like color night vision\, two-way audio\, customi
zable motion detection\, and more. However\, just a few years ago\, doorbe
ll cameras didn&rsquo\;t even exist. When designing a security sys
tem with many different products like security cameras\, door and window s
ensors\, garage door openers\, and smart door locks\, one of the greatest
challenges is choosing the wireless connectivity technology. It is clear t
hat there is no &ldquo\;one size fits all&rdquo\; when it comes to wireles
s connectivity. Different security products have different requirements li
ke battery\, range or throughput forcing the system designer to deploy mor
e than one connectivity technology. This webinar takes a look at t
he challenge of using multiple connectivity technologies within a security
system and dives into the recent trends like multi-protocol wireless MCUs
\, which create an easier and faster design cycle within a single system c
ontaining multiple connectivity protocols. | Speaker: \; Ben Gilboa\, Marketin
g System Engineer\, Low Power RF\, Connected MCU\, Texas Instruments
Moderator: \; Curt Schwaderer\, OpenSystems M
edia | |
CATEGORIES:Education
UID:20180527T2318100Z-511394-1778@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180628T150000
DTEND;TZID=America/Sao_Paulo:20180628T160000
SUMMARY:Security in production: Updating & deploying software OTA securely
DESCRIPTION:Building a secure device is a good start. But maintaining a str
ong security posture over time is just as critical. How will you fix and d
eploy software/firmware updates in the field? In this session\, you’ll ear
n about most commonly used approaches to field updates and the design cons
iderations you should be aware of when building a product. We’ll discuss t
he importance of a software update server and other key considerations for
securely deploying updates and denying unauthorized software installs. To
pics covered: Over-the-air (OTA) updates of the software on your embedded
system Incremental or full updates Signing of packages and images Server a
uthentication REGISTER FOR SESSION 4
X-ALT-DESC;FMTTYPE=text/html:Building a secure device is a good start. B
ut maintaining a strong security posture over time is just as critical. Ho
w will you fix and deploy software/firmware updates in the field? In this
session\, you&rsquo\;ll earn about most commonly used approaches to field
updates and the design considerations you should be aware of when building
a product. We&rsquo\;ll discuss the importance of a software update serve
r and other key considerations for securely deploying updates and denying
unauthorized software installs. Topics covered: - Ove
r-the-air (OTA) updates of the software on your embedded system
-
Incremental or full updates
- Signing of packages and images
- Server authentication
REGISTER FOR SESSION 4
CATEGORIES:Education
UID:20180527T2325080Z-511394-1781@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180712T150000
DTEND;TZID=America/Sao_Paulo:20180712T160000
SUMMARY:Auditing and hardening security best practices
DESCRIPTION:The vast majority of successful cyber-attacks are the result of
misconfigurations\, deployment and user errors\, and similar unintended e
xposures and security gaps. Is your software hardened to defend against at
tacks? By default\, open source software is not configured to assist with
security. In this fifth and final session of the series\, you will learn h
ow to look for — and how to prevent — common misconfigurations. The best p
ractices part of the session will provide guidelines for how to perform a
self-assessment based on your security requirements. Topics covered: Looki
ng at a product design through security lens High-level security checklist
and best practices for products Examples of security pitfalls REGISTER FO
R SESSION 5
X-ALT-DESC;FMTTYPE=text/html:
The vast majority of successful cybe
r-attacks are the result of misconfigurations\, deployment and user errors
\, and similar unintended exposures and security gaps. Is your software ha
rdened to defend against attacks? By default\, open source software is not
configured to assist with security. In this fifth and final session of th
e series\, you will learn how to look for &mdash\; and how to prevent &mda
sh\; common misconfigurations. The best practices part of the session will
provide guidelines for how to perform a self-assessment based on your sec
urity requirements. Topics covered: - Looking at a pr
oduct design through security lens
- High-level security checklist
and best practices for products
- Examples of security pitfalls
li>
REGISTER FOR SESSION 5
 \;
CATEGORIES:Education
UID:20180527T2326260Z-511394-1782@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180605T110000
DTEND;TZID=America/Sao_Paulo:20180605T120000
SUMMARY: Manage your Alarms by Managing your Limits
DESCRIPTION: Industries continue to find creative ways to handle the impac
t of alarms on its operations. Instead of managing\, what if we could stop
the alarms altogether? Shifting focus from managing the effect of abnorma
l situations\, to dealing with their cause? Join Honeywell’s Alarm Managem
ent Product Manager\,Chris Stearns\, and discover why managing your plant
limits can be just as important as managing your alarms. Register Now
X-ALT-DESC;FMTTYPE=text/html: \; Industries continue to find
creative ways to handle the impact of alarms on its operations. Instead o
f managing\, what if we could stop the alarms altogether? Shifting focus f
rom managing the effect of abnormal situations\, to dealing with their cau
se?
Join Honeywell&rsquo\;s Alarm Management Product Manager\,<
strong>Chris Stearns\, and discover why managing your plant limit
s can be just as important as managing your alarms. Register Now
CATEGORIES:Education
UID:20180527T2330100Z-511394-1783@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180606T140000
DTEND;TZID=America/Sao_Paulo:20180606T150000
SUMMARY:Signal Conditioning - Attenuator Solution Basics
DESCRIPTION:REGISTER NOW Why this webinar is important During this one-ho
ur webinar\, we will provide an overview of the world of RF attenuators\,
including: Different type/technology of attenuators How to select the righ
t attenuators for the right applications How RF attenuators help to increa
se throughputs and measurement accuracy in design and validation test
X-ALT-DESC;FMTTYPE=text/html: <
a class='m_7456787127444240118full' href='http://app.link.pentondes.com/e/
er?s=1904481191&\;lid=70369&\;elqTrackId=7f2866add37843bb88383a507f2
456ad&\;elq=a2482db3ad794ff4b6b59e7c67c3f34f&\;elqaid=17406&\;elq
at=1&\;utm_rid=CPG05000002042996&\;utm_campaign=17406&\;utm_mediu
m=email&\;elq2=a2482db3ad794ff4b6b59e7c67c3f34f' target='_blank' data-s
aferedirecturl='https://www.google.com/url?hl=pt-BR&\;q=http://app.link
.pentondes.com/e/er?s%3D1904481191%26lid%3D70369%26elqTrackId%3D7f2866add3
7843bb88383a507f2456ad%26elq%3Da2482db3ad794ff4b6b59e7c67c3f34f%26elqaid%3
D17406%26elqat%3D1%26utm_rid%3DCPG05000002042996%26utm_campaign%3D17406%26
utm_medium%3Demail%26elq2%3Da2482db3ad794ff4b6b59e7c67c3f34f&\;source=g
mail&\;ust=1527547441279000&\;usg=AFQjCNFs4R8r93Ls7ViKgqi9vBejbZVCyg
'>REGISTER NOW | |
| |
|  \; |
Why this webinar is important During this one-hour webi
nar\, we will provide an overview of the world of RF attenuators\, includi
ng: - Different type/technology of attenuators
- How
to select the right attenuators for the right applications
- How R
F attenuators help to increase throughputs and measurement accuracy in des
ign and validation test
| |
|
CATEGORIES:Education
UID:20180527T2344330Z-511394-1786@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180614T120000
DTEND;TZID=America/Sao_Paulo:20180614T130000
SUMMARY: Wireless Charging — Cost-Effective Solutions to Ensure Excellent U
ser Experience for Wearables and Smartphones
DESCRIPTION: Wearables and smartphones are strong growth drivers in the wi
reless charging market. And wireless power transfer is not a trivial endea
vor. Customers want more spatial freedom and higher power ratings. OEMs wa
nt smaller form factors\, high efficiencies\, certified and safe products\
, good heat management\, and low cost. All of this makes engineering more
challenging. Infineon offers a broad selection of semiconductors such as M
OSFETs\, driver ICs\, MCUs with software for selected applications\, volta
ge regulators\, dedicated automotive-qualified components\, and reference
designs to address the leading market standards\, inductive and resonant.
There are even more targeted products in the pipeline such as GaN e-mode H
EMTs. Infineon will soon bring its own GaN technology to market with asign
ificant performance increase over silicon MOSFETs. Key Takeaways: A bas
ic understanding of wireless charging and its market A recommendation for
your wearable and smartphone design challenges Knowledge of the relevant I
nfineon products and solutions for designs based on inductive and resonant
standards Available support material Register Now
X-ALT-DESC;FMTTYPE=text/html: \; Wearables and smart
phones \;are strong \;growth drivers in the \;wireless charging market.
And \;wireless
power transfer \;is not a trivial endeavor. \;Customers w
ant \;more spatial freedom and higher power ratings.
OEMs want \;smaller form factors\, high efficiencies\, certifi
ed and safe products\, good heat management\, and low cost. \
;All of this makes engineering more challenging.
Infineon offer
s a \;broad selection of semiconductors \;such as
MOSFETs\, driver ICs\, MCUs with software for selected applications\, vol
tage regulators\, dedicated automotive-qualified components\, and referenc
e designs to address the \;leading market standards\, inductiv
e and resonant.
There are even more targeted products
in the pipeline such as \;GaN e-mode HEMTs. Infineon
will soon bring its own GaN technology to market with asignificant
performance increase over silicon MOSFETs. \;  \;
p> - A basic understanding of wireles
s charging and its market
- A recommendation for
your wearable and smartphone design challenges
-
Knowledge of the relevant Infineon products and solutions for designs base
d on inductive and resonant standards
- Available
support material
CATEGORIES:Education
UID:20180528T0002000Z-511394-1791@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180530T110000
DTEND;TZID=America/Sao_Paulo:20180530T120000
SUMMARY:Learn how secure\, high-performance IoT is made fast and easy with
the Grapeboard
DESCRIPTION: Need help addressing security and development chal
lenges? NXP\, Scalys and Sequitur Labs have collaborated to address securi
ty and development challenges\, freeing you up to focus on getting your di
fferentiated product to market fast. The Grapeboard system\, featuring NXP
’s Layerscape LS1012A processor\, is the key. This webinar will explore th
e Grapeboard as an ideal development board to kickstart your secure applic
ation. We’ll deep dive into details of the board and its security features
. Our partner\, Sequitur Labs\, Inc.\, will tell you how these features ca
n be used to build an end-to-end secure system. 18:00 CEST / 11AM
CST Register now »
X-ALT-DESC;FMTTYPE=text/html: NXP\, Scalys and Sequitur Labs have collaborated to address security and
development challenges\, freeing you up to focus on getting your different
iated product to market fast. The Grapeboard system\, featuring NXP&rsquo\
;s Layerscape LS1012A processor\, is the key. This webina
r will explore the Grapeboard as an ideal development board to kickstart y
our secure application. We&rsquo\;ll deep dive into details of the board a
nd its security features. Our partner\, Sequitur Labs\, Inc.\, will tell y
ou how these features can be used to build an end-to-end secure system.&nb
sp\;  \;  \;  \;  \; 18:00 \;CEST \;/ \;11AM \;CST Register \;
now \;»\; \;  \;  \;  \;  \;  \;  \;
 \;  \;  \;
CATEGORIES:Education
UID:20180528T1251110Z-511394-1800@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180612T150000
DTEND;TZID=America/Sao_Paulo:20180612T160000
SUMMARY:Powering the Next Phase of the Industrial Internet of Things: Workl
oad Consolidation
DESCRIPTION: The beginning of the Industrial Internet of Things was starte
d with smart controllers\, sensors\, and devices connected on the factory
machines\, in remote field platforms or in medical equipment. In most case
s\, each of these “smart things” were capable of a single function\, such
as control functions\, monitoring operations or reporting data. Each were
connected via a network to the cloud\, to communicate data or receive oper
ating instructions. Now\, with recent technical advances and workload cons
olidation technology\, the industrial Internet of Things is advancing to a
new phase. This new phase is relying less on the “cloud” or the data cent
er and enabling more performance\, functions and capabilities within the f
actory\, in the field or even within the operating room. In this webinar\,
attendees will: Explore what is driving the next phase of the Industrial
Internet of Things Learn how workload consolidation with virtualization\,
blockchain and recent advances are allowing multiple applications and data
analytics to be done on the “smart things” in the factory Discover how wo
rkload consolidation results in efficient performance\, more industrial fu
nctions\, future-proofing and quick responses to changing local operating
or environmental conditions. Sign-up for the webinar today. Sponsor: Win
d River Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html:  \; The beginning of the Industrial Internet of Things was st
arted with smart controllers\, sensors\, and devices connected on the fact
ory machines\, in remote field platforms or in medical equipment. In most
cases\, each of these &ldquo\;smart things&rdquo\; were capable of a singl
e function\, such as control functions\, monitoring operations or reportin
g data. Each were connected via a network to the cloud\, to communicate da
ta or receive operating instructions. Now\, with recent technical advances
and workload consolidation technology\, the industrial Internet of Things
is advancing to a new phase. This new phase is relying less on the &ldquo
\;cloud&rdquo\; or the data center and enabling more performance\, functio
ns and capabilities within the factory\, in the field or even within the o
perating room. In this webinar\, attendees will: - Ex
plore what is driving the next phase of the Industrial Internet of Things<
/li>
- Learn how workload consolidation with virtualization\, blockchai
n and recent advances are allowing multiple applications and data analytic
s to be done on the &ldquo\;smart things&rdquo\; in the factory
-
Discover how workload consolidation results in efficient performance\, mor
e industrial functions\, future-proofing and quick responses to changing l
ocal operating or environmental conditions.
Sign-up for the w
ebinar today.  \; | Sponsor: Wind River Moderator: \;<
br />Brandon Lewis\, OpenSystems Media | <
td colspan='2'>
CATEGORIES:Education
UID:20180527T2349160Z-511394-1788@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180614T150000
DTEND;TZID=America/Sao_Paulo:20180614T160000
SUMMARY:Optimizing Automation with Ethernet and AS-Interface
DESCRIPTION:This event is designed for control engineers who wish to reduce
the installation complexity and cost of an automated system. Examples inc
lude assembly machines and material handling solutions. Professionals inte
rested in simplifying function safety designs will learn why AS-Interface
Safety at Work offers exceptional flexibility at significantly reduced cos
ts. LEARN MORE
X-ALT-DESC;FMTTYPE=text/html:This event is designed for control engineer
s who wish to reduce the installation complexity and cost of an automated
system. Examples include assembly machines and material handling solutions
. Professionals interested in simplifying function safety designs will lea
rn why AS-Interface Safety at Work offers exceptional flexibility at signi
ficantly reduced costs. \; \;
CATEGORIES:Education
UID:20180527T2352480Z-511394-1789@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180530T140000
DTEND;TZID=America/Sao_Paulo:20180530T150000
SUMMARY:Battery Life Measurement Theory and Testing Techniques
DESCRIPTION:The proliferation of wireless devices translates into a need to
maximize the time between charging for end-user satisfaction\, and a requ
irement for mission critical applications\, such as those involving implan
table medical devices\, to properly operate for long periods of time. In
this webinar\, we will discuss: Wireless device basics Battery life measur
ement theory and testing challenges Methodologies for analyzing battery dr
ain characteristics of battery powered wireless devices. Learn more & regi
ster >>
X-ALT-DESC;FMTTYPE=text/html:The proliferation of wireless devices trans
lates into a need to maximize the time between charging for end-user satis
faction\, and a requirement for mission critical applications\, such as th
ose involving implantable medical devices\, to properly operate for long p
eriods of time. \;
In this webinar\, we will discuss:
- Wireless device basics
- Battery life measurement theory
and testing challenges
- Methodologies for analyzing battery drai
n characteristics of battery powered wireless devices.
Learn more &\; register >\;&g
t\;
CATEGORIES:Education
UID:20180527T2357440Z-511394-1790@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180607T150000
DTEND;TZID=America/Sao_Paulo:20180607T160000
SUMMARY:Creative ways to leverage the LPC804 MCU’s integrated programmable
logic feature
DESCRIPTION: The LPC800 series is a 32-bit\, Arm® Cortex®-M0+-based MCU po
rtfolio offering a range of low-power\, space efficient\, low-pin-count op
tions for basic microcontroller applications. Unique to low-end devices\,
the LPC800 series MCUs include differentiated product features\, such as a
n NFC communication interface\, programmable logical unit (PLU)\, mutual c
apacitive touch\, switch matrix for flexible configuration\, patent-approv
ed SCTimer/PWM\, and more – including a comprehensive enablement offering
to help you get to market faster. Please join us for this four-part webina
r series\, where we’ll explore a few of the LPC800 series MCU families in
more detail to help you decide which device best suits your next IoT desig
n. Continuing its history of innovation in MCUs\, NXP introduces a program
mable logic unit (PLU) to the LPC family for the first time with the LPC80
4 MCU. Join us for this webinar to see how easy it is set up the PLU as we
will explore several real-world examples of the challenges many face\, bu
t can be overcome with this unique feature. . REGISTER NOW »
X-ALT-DESC;FMTTYPE=text/html: \; The LPC800 series is a 32-b
it\, Arm®\; Cortex®\;-M0+-based MCU portfolio offering a range of lo
w-power\, space efficient\, low-pin-count options for basic microcontrolle
r applications. Unique to low-end devices\, the LPC800 series MCUs include
differentiated product features\, such as an NFC communication interface\
, programmable logical unit (PLU)\, mutual capacitive touch\, switch matri
x for flexible configuration\, patent-approved SCTimer/PWM\, and more &nda
sh\; including a comprehensive enablement offering to help you get to mark
et faster. Please join us for this four-part webinar series\, wher
e we&rsquo\;ll explore a few of the LPC800 series MCU families in more det
ail to help you decide which device best suits your next IoT design.
Continuing its history of innovation in MCUs\, NXP introduces a program
mable logic unit (PLU) to the LPC family for the first time with the LPC80
4 MCU. Join us for this webinar to see how easy it is set up the PLU as we
will explore several real-world examples of the challenges many face\, bu
t can be overcome with this unique feature. .  \;
CATEGORIES:Education
UID:20180528T0010160Z-511394-1793@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180614T150000
DTEND;TZID=America/Sao_Paulo:20180614T160000
SUMMARY:Get started fast with this comprehensive enablement offering for LP
C800 MCUs
DESCRIPTION: The LPC800 series is a 32-bit\, Arm® Cortex®-M0+-based MCU po
rtfolio offering a range of low-power\, space efficient\, low-pin-count op
tions for basic microcontroller applications. Unique to low-end devices\,
the LPC800 series MCUs include differentiated product features\, such as a
n NFC communication interface\, programmable logical unit (PLU)\, mutual c
apacitive touch\, switch matrix for flexible configuration\, patent-approv
ed SCTimer/PWM\, and more – including a comprehensive enablement offering
to help you get to market faster. Please join us for this four-part webina
r series\, where we’ll explore a few of the LPC800 series MCU families in
more detail to help you decide which device best suits your next IoT desig
n. In May 2018\, NXP expanded the MCUXpresso suite of software and tools t
o include full support for the LPC800 MCU family. Learn about the MCUXpres
so IDE\, configuration tools and SDK support that is now available for the
most cost-effective and compelling family of Arm-based 8-bit replacement
microcontrollers available. . REGISTER NOW »
X-ALT-DESC;FMTTYPE=text/html: \; The LPC800 series is a 32-b
it\, Arm®\; Cortex®\;-M0+-based MCU portfolio offering a range of lo
w-power\, space efficient\, low-pin-count options for basic microcontrolle
r applications. Unique to low-end devices\, the LPC800 series MCUs include
differentiated product features\, such as an NFC communication interface\
, programmable logical unit (PLU)\, mutual capacitive touch\, switch matri
x for flexible configuration\, patent-approved SCTimer/PWM\, and more &nda
sh\; including a comprehensive enablement offering to help you get to mark
et faster. Please join us for this four-part webinar series\, wher
e we&rsquo\;ll explore a few of the LPC800 series MCU families in more det
ail to help you decide which device best suits your next IoT design.
In May 2018\, NXP expanded the MCUXpresso suite of software and tools t
o include full support for the LPC800 MCU family. Learn about the MCUXpres
so IDE\, configuration tools and SDK support that is now available for the
most cost-effective and compelling family of Arm-based 8-bit replacement
microcontrollers available. .  \;
CATEGORIES:Education
UID:20180528T0023380Z-511394-1796@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180529T140000
DTEND;TZID=America/Sao_Paulo:20180529T150000
SUMMARY:Your Account Has Been Attacked! Principles of FIDO and How Secured
Hardware Tokens Can Protect Online Accounts
DESCRIPTION: Password-attacks of accounts are a persistent problem. This
is a major concern not only for users and providers of services\, but als
o for IT and Internet Security Officers. This webinar will discuss some of
the common threats to online and local accounts and how hardware-based mu
ltifactor authentication using the FIDO (Fast IDentity Online) standard si
gnificantly improves protection while streamlining the user experience. As
a founding member of the FIDO Alliance and a leading supplier of hardware
security microcontrollers\, Infineon Technologies will give an overview o
f the FIDO specifications\, how these increase authentication security\, a
nd how companies looking to develop FIDO security products can start imple
mentation. Learn about threats and common attack scenarios in the domain o
f accessing accounts Learn about the mission of the FIDO alliance and the
principles of the FIDO standard Learn how FIDO contributes to solve the ch
allenge for secured logon Learn about the market needs for authentication
What benefits Hardware-based authenticators bring Can't attend the live ev
ent? No problem. Register at right and we'll send you a link to view the w
ebinar at your convenience. register
X-ALT-DESC;FMTTYPE=text/html: \;  \; Password-attacks of ac
counts are a persistent problem. This is a major concern not only for user
s and providers of services\, but also for IT and Internet Security Office
rs. \;This webinar will discuss some of the common threats to online a
nd local accounts and how hardware-based multifactor authentication using
the FIDO (Fast IDentity Online) standard significantly improves protection
while streamlining the user experience. As a founding member of the FIDO
Alliance and a leading supplier of hardware security microcontrollers\, In
fineon Technologies will give an overview of the FIDO specifications\, how
these increase authentication security\, and how companies looking to dev
elop FIDO security products can start implementation. - Learn
about threats and common attack scenarios in the domain of accessing acco
unts
- Learn about the mission of the FIDO alliance and the princi
ples of the FIDO standard
- Learn how FIDO contributes to solve th
e challenge for secured logon
- Learn about the market needs for a
uthentication
- What benefits Hardware-based authenticators bring<
/li>
Can't attend the live event? No problem. Register at r
ight and we'll send you a link to view the webinar at your convenience.  \; register
CATEGORIES:Education
UID:20180528T0027260Z-511394-1797@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180711T150000
DTEND;TZID=America/Sao_Paulo:20180711T160000
SUMMARY:Designing for Medical Applications
DESCRIPTION: From the outside\, a medical device very closely resembles an
y other embedded system—it has a processing element\, an operating system\
, some type of I/O\, etc. However\, the similarities end there\, and the m
edical device has some constraints that traditional embedded systems do no
t. Namely\, they can never fail. And security must be super tight. In this
webcast\, we’ll examine what’s needed for a medical device to operate pro
perly in the field\, looking specifically at power issues and security. Sp
onsor: Kontron Moderator: Brandon Lewis\, OpenSystems Media REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \; From the outside\, a medical device very closel
y resembles any other embedded system&mdash\;it has a processing element\,
an operating system\, some type of I/O\, etc. However\, the similarities
end there\, and the medical device has some constraints that traditional e
mbedded systems do not. Namely\, they can never fail. And security must be
super tight. In this webcast\, we&rsquo\;ll examine what&rsquo\;s
needed for a medical device to operate properly in the field\, looking sp
ecifically at power issues and security. | Sponsor: Kontron Modera
tor: \; Brandon Lewis\, OpenSystems Media |
tr> |
CATEGORIES:Education
UID:20180528T1247220Z-511394-1798@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20180607T120000
DTEND;TZID=America/Sao_Paulo:20180607T130000
SUMMARY:Industry 4.0 - Industrial Ethernet and the Transition to Time Sensi
tive Networking
DESCRIPTION:Industrial Ethernet is the preferred communication technology i
n industrial automation. However\, with the standardization of Time Sensit
ive Networking (TSN) and the drive towards the convergence of IT and OT ne
tworks by Industry 4.0\, we are at the crossroads on how Industrial Ethern
et protocols will adopt these new technologies driving change. In this web
cast\, we will look at the major Industrial Ethernet protocols like PROFIN
ET\, EtherNet/IP\, and EtherCAT\, as well as the new features of TSN\, and
discuss how the future might unfold as more and more systems move towards
Industry 4.0. During this webcast\, we will: Review the underlying feat
ures of the major Industrial Ethernet protocols Describe the features of T
ime Sensitive Networking and their application to the Industrial market se
gment Discuss how protocols may adopt the new TSN feature set Register Now
X-ALT-DESC;FMTTYPE=text/html:Industrial Ethernet is the preferred commun
ication technology in industrial automation. However\, with the st
andardization of Time Sensitive Networking (TSN) and the drive to
wards the convergence of IT and OT networks by Industry 4.0\, we are at the crossroads on how Industrial Ethernet protocols
will adopt these new technologies driving change. I
n this webcast\, we will look at the major Industrial Ethernet protocols l
ike PROFINET\, EtherNet/IP\, and EtherCAT\, as well as the new features of TSN\, and discuss how
the future might unfold as more and more systems move towards Industry 4.0
.  \; - Review the un
derlying features of the major Industrial Ethernet protocols
- Describe the features of Time Sensitive Networking and their
application to the Industrial market segment
- D
iscuss how protocols may adopt the new TSN feature set
Register Now<
/p>
CATEGORIES:Education
UID:20180528T1246060Z-511394-1799@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201013T150000
DTEND;TZID=America/Sao_Paulo:20201013T160000
SUMMARY:Innovative Fiber Optic Safety And Position Sensor Solution For Medi
cal And Industrial Applications
DESCRIPTION: Fiber optic technology continues to gain adoption in a wide
range of industrial applications due to its inherent attributes that make
it immune to electromagnetic interference\, high-voltage environments\, m
agnetic fields\, and lightning. Fiber optic sensors offer measurement solu
tions where electrical and electronic circuits simply cannot reliably or s
afely function\, as well as enabling a new range of OEM equipment and appl
ications that in the past could not be designed without fiber optic techno
logy. This webinar will discuss how industrial machine and medical devi
ce manufacturers and researchers\, can leverage fiber optic position senso
rs to get accurate\, repeatable feedback in challenging operating environm
ents and how fiber optic signaling devices improve safety in hazardous loc
ations. REGISTER
X-ALT-DESC;FMTTYPE=text/html:  \;  \; Fiber optic technolo
gy continues to gain adoption in a wide range of industrial applications d
ue to its inherent attributes that make it immune to electromagnetic inter
ference\, high-voltage environments\, magnetic fields\, and lightning. Fib
er optic sensors offer measurement solutions where electrical and electron
ic circuits simply cannot reliably or safely function\, as well as enablin
g a new range of OEM equipment and applications that in the past could not
be designed without fiber optic technology. \;  \; This webinar wil
l discuss how industrial machine and medical device manufacturers and rese
archers\, can leverage fiber optic position sensors to get accurate\, repe
atable feedback in challenging operating environments and how fiber optic
signaling devices improve safety in hazardous locations.  \; REGISTER  \;
CATEGORIES:Education
UID:20201011T2307510Z-511394-2282@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201014T140000
DTEND;TZID=America/Sao_Paulo:20201014T150000
SUMMARY:Benefits and Considerations When Porting to a New Architecture
DESCRIPTION: While technology advancements have reduced barriers to adopti
ng a real-time operating system (RTOS)\, it's important not to underestima
te the implementation effort. This webinar explains the fundamentals of an
RTOS and the necessary considerations when porting to a new architecture\
, such as an Arm® Cortex®-M soft processor core within a Xilinx® FPGA.
This webinar helps you understand: The principles of RTOS and how an RTOS
works The considerations and challenges of porting an RTOS to a new archit
ecture Xilinx Authorized Training Provider Doulos presents this webinar wi
th interactive Q&A.
X-ALT-DESC;FMTTYPE=text/html: \; While technology advancements have red
uced barriers to adopting a real-time operating system (RTOS)\, it's impor
tant not to underestimate the implementation effort. This webinar explains
the fundamentals of an RTOS and the necessary considerations when porting
to a new architecture\, such as an Arm®\; Cortex®\;-M soft processo
r core within a Xilinx®\; FPGA.&nbs
p\;  \; This webinar helps you understand: - The principles of RTOS and h
ow an RTOS works
- The considerations and challenges of porting an
RTOS to a new architecture
Xilinx Authorized Training Provider Doulo
s presents this webinar with interactive Q&\;A.  \;  \;
CATEGORIES:Education
UID:20201011T2310300Z-511394-2283@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201105T150000
DTEND;TZID=America/Sao_Paulo:20201105T160000
SUMMARY:Webinar: How to build a CBRS network and transform industry
DESCRIPTION: CBRS will see many new organizations become their own connect
ivity providers\, enabling new solutions and business models that create h
uge value. But where do you start? This webinar provides a practical guid
e for CBRS spectrum holders\, vendors and end-users looking to deploy priv
ate cellular networks for business transformation.
X-ALT-DESC;FMTTYPE=text/html: \; CBR
S will see many new organizations become their own connectivity providers\
, enabling new solutions and business models that create huge value. But w
here do you start? \;
This we
binar \;provides a prac
tical guide for CBRS spectrum holders\, vendors and end-users looking to d
eploy private cellular networks for business transformation. \;
CATEGORIES:Education
UID:20201011T2335120Z-511394-2292@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201110T150000
DTEND;TZID=America/Sao_Paulo:20201110T160000
SUMMARY:The Virtual IoT Device Security Conference: IEC 62443: How to Achie
ve the Highest Levels of Industrial Security
DESCRIPTION: In recent years\, a rising tide of cyber-attacks on industria
l systems have threatened safety and reliability. The world has learned th
at there is no industrial safety without cyber security. But during these
same years\, an international group of experts has developed a comprehensi
ve standard for industrial security. The IEC 62443 standards have been wid
ely praised for their use of practical but effective countermeasures. Come
learn more about how these standards can be used to secure your industria
l systems. REGISTER NOW
X-ALT-DESC;FMTTYPE=text/html: \; In recent years
\, a rising tide of cyber-attacks on industrial systems have threatened sa
fety and reliability. The world has learned that there is no industrial sa
fety without cyber security. But during these same years\, an internationa
l group of experts has developed a comprehensive standard for industrial s
ecurity. The IEC 62443 standards have been widely praised for their use of
practical but effective countermeasures. Come learn more about how these
standards can be used to secure your industrial systems. &n
bsp\;  \; &nbs
p\;
CATEGORIES:Education
UID:20201011T2316160Z-511394-2285@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201020T150000
DTEND;TZID=America/Sao_Paulo:20201020T160000
SUMMARY:Trends in End-of-Arm Tooling
DESCRIPTION: Learn more about the gripping portfolio from Festo with highl
ights on unique mechanical\, electrical\, vacuum and magnetic solutions. K
ey considerations for selection of End-of-arm tooling will be discussed an
d analyzed with relevance to available gripping solutions. REGISTER NO
W
X-ALT-DESC;FMTTYPE=text/html: \; Learn mo
re about the gripping portfolio from Festo with highlights on unique mecha
nical\, electrical\, vacuum and magnetic solutions. Key considerations for
selection of End-of-arm tooling will be discussed and analyzed with relev
ance to available gripping solutions.  \;  
\;  \;
CATEGORIES:Education
UID:20201011T2317490Z-511394-2286@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201015T150000
DTEND;TZID=America/Sao_Paulo:20201015T160000
SUMMARY:How component standardization can maximize safety and reduce costs.
DESCRIPTION: Safety\, Reliability and Design Flexibility = Quality Consume
r Products Creating products for the consumer market poses numerous safety
challenges for design engineers. A major concern is supporting the manage
ment of increased power demands for product safety based on the applicatio
n and reliability of the connector components. Molex and Heilind provide v
aluable power connector solutions that solve these design challenges. REGI
STER NOW
X-ALT-DESC;FMTTYPE=text/html: \;
Creating products for th
e consumer market poses numerous safety challenges for design engineers. A
major concern is supporting the management of increased power demands for
product safety based on the application and reliability of the connector
components. Molex and Heilind provide valuable power connector solutions t
hat solve these design challenges.
|
CATEGORIES:Education
UID:20201011T2321110Z-511394-2287@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201029T150000
DTEND;TZID=America/Sao_Paulo:20201029T160000
SUMMARY:How predictive quality is used for process optimization
DESCRIPTION: In this webcast\, we’ll examine the use of machine learning a
nd pattern recognition in predictive quality solutions through several aut
omotive and food & beverage industry case studies\, noting that they addre
ss common industry pain points. We’ll also look at what kinds of technolog
y\, infrastructure and data will be needed to get started in each area.
REGISTER TODAY!
X-ALT-DESC;FMTTYPE=text/html: \; In this webcast\, we&rsquo\;ll examine the use of machine lear
ning and pattern recognition in predictive quality solutions through sever
al automotive and food &\; beverage industry case studies\, noting that
they address common industry pain points. We&rsquo\;ll also look at what
kinds of technology\, infrastructure and data will be needed to get starte
d in each area.  \;  \; <
tbody style='-webkit-font-smoothing: antialiased\;'>  \; |  \;
| REGISTER TODAY! |  \;<
/p>
CATEGORIES:Education
UID:20201011T2323110Z-511394-2288@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201014T120000
DTEND;TZID=America/Sao_Paulo:20201014T130000
SUMMARY:Zynq UltraScale+ MPSoC and Xen Hypervisor
DESCRIPTION: his webinar introduces hypervisor architecture and teaches th
e user how to use the Xen hypervisor on the Zynq® UltraScale+™ MPSoC. This
webinar uses a PetaLinux project to demonstrate tips and techniques to bu
ild a Linux image\, configure a Xen hypervisor\, and load multiple guest O
Ses. register
X-ALT-DESC;FMTTYPE=text/html:  \; his webinar int
roduces hypervisor architecture and teaches the user how to use the Xen hy
pervisor on the Zynq®\; UltraScale+&trade\; MPSoC. This webinar uses a
PetaLinux project to demonstrate tips and techniques to build a Linux imag
e\, configure a Xen hypervisor\, and load multiple guest OSes.
register
a>
CATEGORIES:Education
UID:20201011T2327350Z-511394-2289@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201016T140000
DTEND;TZID=America/Sao_Paulo:20201016T150000
SUMMARY:Integrating the Arm Cortex-M3 with a Xilinx FPGA
DESCRIPTION: A best-of-both worlds solution for embedded systems The combi
nation of the widely acclaimed Arm® Cortex®-M MCU architecture with the pe
rformance of a Xilinx® FPGA provides more flexibility and greater scope fo
r innovation in the creation of application-optimised designs. Many Vivado
® users are familiar with the Microblaze™ RISC processor. However\, the Ar
m Cortex-M3 has a substantially different hardware architecture\, with uni
que system integration aspects. In this webinar we will examine the Cortex
-M3 IP block and discuss its integration inside a Xilinx FPGA. We will: Ex
amine the two main system busses\, their use model and restrictions Review
the operations of the Nested Vectored Interrupt Controller (NVIC) and its
interaction with a Wakeup Interrupt Controller (WIC) Examine the memory m
anagement operations along with invasive and non-invasive debugging featur
es of the core. REGISTER
X-ALT-DESC;FMTTYPE=text/html: \; <
strong>A best-of-both worlds solution for embedded systems <
p>The combination of the widely acclaimed Arm®\; Cortex®\;-M MCU arc
hitecture with the performance of a Xilinx®\; FPGA provides more flexib
ility and greater scope for innovation in the creation of application-opti
mised designs. Many Vivado®\; users are familiar with the Micro
blaze&trade\; RISC processor. However\, the Arm Cortex-M3 has a substantia
lly different hardware architecture\, with unique system integration aspec
ts. In this webinar we will examine the Cortex-M3 IP block and dis
cuss its integration inside a Xilinx FPGA.
We will:
- Examine the two main system busses\, their use model and restrictions
- Review the operations of the Nested Vectored Interrupt Controll
er (NVIC) and its interaction with a Wakeup Interrupt Controller (WIC)
- Examine the memory management operations along with invasive and no
n-invasive debugging features of the core.
REGISTER
CATEGORIES:Education
UID:20201011T2329520Z-511394-2290@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201022T160000
DTEND;TZID=America/Sao_Paulo:20201022T170000
SUMMARY:Introduction to PetaLinux
DESCRIPTION: Want to learn how to use the PetaLinux tools to customize\, b
uild\, and deploy Linux systems on Xilinx devices? Webinar Highlights: - L
earn about the components that make up an embedded Linux system - Become f
amiliar with the PetaLinux Tools used to build an embedded Linux operating
system - Get an overview of how PetaLinux can minimize development time i
n creating Linux applications\, device drivers\, and kernel modules - Disc
over how QEMU (the Quick Emulator) can be used to quickly run and debug an
embedded system - See how PetaLinux can generate a bootable system image
inclusive of the OS bootloader and the programmable logic configuration bi
tstream This webinar is presented by Xilinx Authorized Training Provider (
ATP) Hardent. The webinar is free to attend and includes a live Q&A sessio
n with embedded specialist Daniel Ranga. Register Now
X-ALT-DESC;FMTTYPE=text/html: \; Want to learn how to use the PetaLinux
tools to customize\, build\, and deploy Linux systems on Xilinx devices? W
ebinar Highlights: - Learn about the components that make up an embedded L
inux system - Become familiar with the PetaLinux Tools used to build an em
bedded Linux operating system - Get an overview of how PetaLinux can minim
ize development time in creating Linux applications\, device drivers\, and
kernel modules - Discover how QEMU (the Quick Emulator) can be used to qu
ickly run and debug an embedded system - See how PetaLinux can generate a
bootable system image inclusive of the OS bootloader and the programmable
logic configuration bitstream This webinar is presented by Xilinx Authoriz
ed Training Provider (ATP) Hardent. The webinar is free to attend and incl
udes a live Q&\;A session with embedded specialist Daniel Ranga.  \;
CATEGORIES:Education
UID:20201011T2331550Z-511394-2291@localendar.com
END:VEVENT
BEGIN:VEVENT
DTSTAMP:20240329T082605Z
DTSTART;TZID=America/Sao_Paulo:20201013T130000
DTEND;TZID=America/Sao_Paulo:20201013T140000
SUMMARY: Transfer Molded Power Integrated Modules
DESCRIPTION:Register Now Join this webinar to learn the differences betwe
en Intelligent Power Modules (IPM) and Power Integrated Modules (PIM). We
will also provide technical support on why Transfer Molded Power Modules a
re better than Gel-filled from a performance perspective. We will discuss:
Why the module market is rapidly expanding Reasons for the high power mod
ule demand Technical background on discrete power devices vs power modules
and how it affects overall power footprint
X-ALT-DESC;FMTTYPE=text/html:  \;
Join this webinar
 \;to learn the \;differences \;between \;Intelligent Power Modules (IPM) and P
ower Integrated Modules (PIM). We will also provide technical sup
port on why Transfer Molded Power Modules are better than Gel-filled from
a performance perspective. We will
discuss: - Why the module market is rapid
ly expanding
- Reasons for the high power module demand
-
Technical background on discrete power devices vs power modules and how it
affects overall power footprint
|  \;
CATEGORIES:Education
UID:20201011T2338120Z-511394-2293@localendar.com
END:VEVENT
END:VCALENDAR
| | | |